Compute resource management and turn around time control in mask data prep (Englisch)
- Neue Suche nach: Lewis, Travis
- Neue Suche nach: Goad, Scott
- Neue Suche nach: Jantzen, Kenneth
- Neue Suche nach: Nouh, Ahmed
- Neue Suche nach: Park, Minyoung
- Neue Suche nach: Sahouria, Emile
- Neue Suche nach: Schulze, Steffen
- Neue Suche nach: Lewis, Travis
- Neue Suche nach: Goad, Scott
- Neue Suche nach: Jantzen, Kenneth
- Neue Suche nach: Nouh, Ahmed
- Neue Suche nach: Park, Minyoung
- Neue Suche nach: Sahouria, Emile
- Neue Suche nach: Schulze, Steffen
In:
Proc. SPIE
;
7122
; 71222O
;
2008
-
ISBN:
-
ISSN:
- Aufsatz (Konferenz) / Elektronische Ressource
-
Titel:Compute resource management and turn around time control in mask data prep
-
Beteiligte:Lewis, Travis ( Autor:in ) / Goad, Scott ( Autor:in ) / Jantzen, Kenneth ( Autor:in ) / Nouh, Ahmed ( Autor:in ) / Park, Minyoung ( Autor:in ) / Sahouria, Emile ( Autor:in ) / Schulze, Steffen ( Autor:in )
-
Kongress:Photomask Technology 2008 ; 2008 ; Monterey,California,United States
-
Erschienen in:Proc. SPIE ; 7122 ; 71222O
-
Verlag:
- Neue Suche nach: SPIE
-
Erscheinungsdatum:17.10.2008
-
ISBN:
-
ISSN:
-
DOI:
-
Medientyp:Aufsatz (Konferenz)
-
Format:Elektronische Ressource
-
Sprache:Englisch
-
Datenquelle:
Inhaltsverzeichnis Konferenzband
Die Inhaltsverzeichnisse werden automatisch erzeugt und basieren auf den im Index des TIB-Portals verfügbaren Einzelnachweisen der enthaltenen Beiträge. Die Anzeige der Inhaltsverzeichnisse kann daher unvollständig oder lückenhaft sein.
- 71220A
-
Impact of the OMOG substrate on 32 nm mask OPC inspectability, defect sensitivity, and mask design rule restrictionsBadger, Karen / Kodera, Yutaka / Gallagher, Emily / Lawliss, Mark et al. | 2008
- 71220B
-
Evaluation of 32nm advanced immersion lithography pelliclesZhou, N. / Racette, K. / Hibbs, M. / Mizoguchi, T. / Hasselbeck, D. / Barrett, M. / Nolan, R. / Houle, F. / Ritter, J. / Wagner, A. et al. | 2008
- 71220C
-
Road to a zero degree total temperature range post exposure bake processWähler, Tobias / Dress, Peter et al. | 2008
- 71220D
-
Study of second-generation Proximity Gap Suction Development System (PGSD-II) for mask fabricationSakurai, Hideaki / Terayama, Masatoshi / Sakai, Mari / Itoh, Masamitsu / Ikenaga, Osamu / Funakoshi, Hideo / Sato, Norifumi / Nakamizo, Kenji / Nomura, Masato / Saito, Yoshihiko et al. | 2008
- 71220E
-
Process control of chrome dry etching by complete characterization of the RF power deliverySass, Björn / Schubert, Ralf / Jakubski, Thomas / Mauermann, Sebastian / Nesladek, Pavel / Wiswesser, Andreas / Gindra, Karl-Heinz / Malone, Ray et al. | 2008
- 71220F
-
Integrating Cr and MoSi etch for optimal photomask critical dimension uniformity and phase uniformityWistrom, Richard / Komizo, Toru / Nemoto, Satoru / Reid, A. Gary et al. | 2008
- 71220G
-
Electric field-induced progressive CD degradation in reticlesRider, Gavin C. et al. | 2008
- 71220H
-
Don't kill canaries! Introducing a new test device to assess the electrostatic risk potential to photomasksSebald, Thomas et al. | 2008
- 71220I
-
Damage mechanisms and process optimization for photomasks with sub-resolution assist featuresKindt, L. / Gallagher, E. / Levin, J. / Kodera, Y. / Okawa, Y. / Sasaki, Y. et al. | 2008
- 71220J
-
New electron optics for mask writer EBM-7000 to challenge hp 32nm generationKamikubo, Takashi / Golladay, Steven / Kendall, Rodney / Katsap, Victor / Ohtoshi, Kenji / Ogasawara, Munehiro / Nishimura, Shinsuke / Nishimura, Rieko / Iizuka, Osamu / Nakayama, Takahito et al. | 2008
- 71220K
-
E-beam exposure system using multi column cell (MCC) with CP for mask writingYamada, Akio / Yasuda, Hiroshi / Yamabe, Masaki et al. | 2008
- 71220L
-
Results obtained with the CHARPAN Engineering Tool and prospects of the ion Mask Exposure Tool (iMET)Platzgummer, Elmar / Loeschner, Hans / Gross, Gerhard et al. | 2008
- 71220M
-
Effects of heated substrates on bimetallic thermal resist for lithography and grayscale photomask applicationsDykes, James M. / Tsui, Polly / Leung, Jenny / Chapman, Glenn H. et al. | 2008
- 71220N
-
Revisiting the layout decomposition problem for double patterning lithographyKahng, Andrew B. / Park, Chul-Hong / Xu, Xu / Yao, Hailong et al. | 2008
- 71220O
-
Evaluation of Double Process Lithography (DPL) with bi-layer photo-resist process for contact layer-patterningChen, Gong / Wu, Kevin et al. | 2008
- 71220P
-
Mask defect printability in the spacer patterning processMiyoshi, Seiro / Yamaguchi, Shinji / Hirano, Takashi / Mashita, Hiromitsu / Mukai, Hidefumi / Kobiki, Ayumi / Kobayashi, Yuuji / Hashimoto, Kohji / Inoue, Soichi et al. | 2008
- 71220Q
-
Printability verification for double-patterning technologyLuk-Pat, Gerard / Panaite, Petrisor / Lucas, Kevin / Cork, Christopher / Wiaux, Vincent / Verhaegen, Staf / Maenhoudt, Mireille et al. | 2008
- 71220R
-
Double dipole RET investigation for 32 nm metal layersBabcock, Carl / Zou, Yi / Dunn, Derren / Baum, Zachary / Zhao, Zengqin / Matthew, Itty / LaCour, Pat et al. | 2008
- 71220S
-
Double-patterning decomposition, design compliance, and verification algorithms at 32nm hpTritchkov, Alexander / Glotov, Petr / Komirenko, Sergiy / Sahouria, Emile / Torres, Andres / Seoud, Ahmed / Wiaux, Vincent et al. | 2008
- 71220T
-
Pixel-based SRAF implementation for 32nm lithography processKim, Byung-Sung / Kim, Yoo-Hyun / Lee, Sung-Ho / Kim, Sung-Il / Ha, Sang-Rok / Kim, Juhwan / Tritchkov, Alexander et al. | 2008
- 71220U
-
Model-based assist feature placement: an inverse imaging approachPoonawala, Amyn / Painter, Benjamin / Mayhew, Jeffrey et al. | 2008
- 71220V
-
Optimizing models based OPC fragmentation using genetic algorithmsDipaola, Domenico A. / Stobert, Ian et al. | 2008
- 71220W
-
Single exposure is still alive: gate patterning at 45nm technology nodeHerold, Klaus / Samuels, Donald J. / Dunn, Derren / Abdo, Amr / Sarma, Chandrasekhar et al. | 2008
- 71220X
-
Advanced mask technique to improve bit line CD uniformity of 90 nm node flash memory in low-k1 lithographyKim, Jong-doo / Choi, Jae-young / Kim, Jea-hee / Han, Jae-won et al. | 2008
- 71220Y
-
OPC hotspot identification challenges: ORC vs. PWQ on waferPoock, Andre / McGowan, Sarah / Weisbuch, Francois / Schnasse, Guido / Ghaskadvi, Rajesh et al. | 2008
- 71220Z
-
Challenges for the quality control of assist features for 45nm node technology and beyondWang, Lin / Lukanc, Todd / Takahashi, Makoto / Kim, Hung-Eil / Phan, Khoi / Yamazaki, Taichi / Kojima, Yosuke / Nozaki, Wataru / Haraguchi, Takashi / Okuda, Yoshimitsu et al. | 2008
- 71221A
-
High resolution inspection with wafer plane die: database defect detectionHess, Carl / Wihl, Mark / Shi, Rui-fang / Xiong, Yalin / Pang, Song et al. | 2008
- 71221B
-
Wafer plane inspection evaluated for photomask productionGallagher, Emily / Badger, Karen / Lawliss, Mark / Kodera, Yutaka / Azpiroz, Jaione Tirapu / Pang, Song / Zhang, Hongqin / Eugenieva, Eugenia / Clifford, Chris / Goonesekera, Arosha et al. | 2008
- 71221C
-
Wafer plane inspection with soft resist thresholdingHess, Carl / Shi, Rui-fang / Wihl, Mark / Xiong, Yalin / Pang, Song et al. | 2008
- 71221D
-
AIMS and resist simulationStrößner, Ulrich / Seitz, Holger / Birkner, Robert / Richter, Rigo / Scherübl, Thomas et al. | 2008
- 71221E
-
AIMS-45 image validation of contact hole patterns after inverse lithography at NA 1.35Hendrickx, E. / Birkner, R. / Kempsell, M. / Tritchkov, A. / Vandenberghe, G. / Scheruebl, T. et al. | 2008
- 71221F
-
Auto-classification and simulation of mask defects using SEM and CAD imagesKang, Tung-Yaw / Lee, Hsin-Chang / Zhang, H. / Yamada, K. / Kitayama, Y. / Kobayashi, K. / Fiekowsky, Peter et al. | 2008
- 71221G
-
Novel mask inspection flow using Sensitivity Control Layers (SCL) on the TeraScanHR-587 platformHedges, Shad / Le, Chin / Eickhoff, Mark / Wylie, Mark / Simmons, Tim / Vellanki, Venu / McMurran, Jeff et al. | 2008
- 71221H
-
Evaluating practical vs. theoretical inspection system capability with a new programmed defect test mask designed for 3X and 4X technology nodesGlasser, Joshua / Pratt, Tim et al. | 2008
- 71221I
-
Nanomachining photomask repair of complex patternsRobinson, Tod / Dinsdale, Andrew / Archuletta, Mike / Bozak, Ron / White, Roy et al. | 2008
- 71221J
-
Practical laser mask repair in the contemporary production environmentRobinson, Tod / White, Roy / Archuletta, Mike / Bozak, Ron et al. | 2008
- 71221K
-
Advanced process capabilities for electron beam based photomask repair in a production environmentGaretto, Anthony / Baur, Christof / Oster, Jens / Waiblinger, Markus / Edinger, Klaus et al. | 2008
- 71221L
-
32nm 1-D regular pitch SRAM bitcell design for interference-assisted lithographyGreenway, Robert T. / Jeong, Kwangok / Kahng, Andrew B. / Park, Chul-Hong / Petersen, John S. et al. | 2008
- 71221M
-
Convergence-based OPC method for dense simulationsDesouky, Tamer et al. | 2008
- 71221N
-
Combination of rule and pattern based lithography unfriendly pattern detection in OPC flowKang, Jae-Hyun / Choi, Jae-Young / Shim, Yeon-Ah / Lee, Hye-Sung / Su, Bo / Chan, Walter / Zhang, Ping / Wu, Joanne / Kim, Keun-Young et al. | 2008
- 71221O
-
Automated OPC model collection, cleaning, and calibration flowDrapeau, Martin / Ward, Brian S. / Falch, Brad et al. | 2008
- 71221Q
-
Improvements in accuracy of dense OPC modelsKallingal, Chidam / Oberschmidt, James / Viswanathan, Ramya / Abdo, Amr / Park, OSeo et al. | 2008
- 71221R
-
Defining a physically accurate laser bandwidth input for optical proximity correction (OPC) and modelingLalovic, Ivan / Kritsun, Oleg / McGowan, Sarah / Bendik, Joseph / Smith, Mark / Farrar, Nigel et al. | 2008
- 71221S
-
Benchmark of rigorous methods for electromagnetic field simulationsBurger, Sven / Zschiedrich, Lin / Schmidt, Frank / Evanschitzky, Peter / Erdmann, Andreas et al. | 2008
- 71221T
-
Fast and accurate hybrid subgrid and subcell finite-difference time-domain methods for the simulation of mask electromagnetic effects in sub-45nm lithographyYeung, Michael S. et al. | 2008
- 71221U
-
An efficient method for transfer cross coefficient approximation in model based optical proximity correctionSabatier, Romuald / Fossati, Caroline / Bourennane, Salah / Di Giacomo, Antonio et al. | 2008
- 71221V
-
Scanner-specific separable models for computational lithographyHunsche, Stefan / Xie, Xu / Zhao, Qian / Liu, Hua-Yu / Nikolsky, Peter / Ngai, Anthony et al. | 2008
- 71221W
-
Considering MEEF in inverse lithography technology (ILT) and source mask optimization (SMO)Pang, Linyong / Xiao, Guangming / Tolani, Vikram / Hu, Peter / Cecil, Thomas / Dam, Thuc / Baik, Ki-Ho / Gleason, Bob et al. | 2008
- 71221X
-
Smoothing based model for images of buried EUV multilayer defects near absorber featuresClifford, Chris H. / Neureuther, Andrew R. et al. | 2008
- 71221Y
-
Simulation-based EUV source and mask optimizationFühner, Tim / Erdmann, Andreas / Evanschitzky, Peter et al. | 2008
- 71221Z
-
DFM viewpoints of cell-level layout assessments and indications for concurrent layout optimizationFu, Chung-Min / Yeh, Ping-Heng / Cheng, Yi-Kan / Klaver, Simon et al. | 2008
- 71222C
-
Pattern placement correction due to bending in EUVL masksPark, Seh-Jin / Manish, Chandhok / Kamna, Marilyn / Hu, Chuan / Zhang, Guojing / Martinez, Fabian C. / Wilcox, Nathan / Hsia, Kamgmin / Stivers, Alan R. et al. | 2008
- 71222D
-
Signal analysis for the actinic full-field EUVL mask blank inspection systemYamane, Takeshi / Iwasaki, Teruo / Tanaka, Toshihiko / Terasawa, Tsuneo / Suga, Osamu / Tomie, Toshihisa et al. | 2008
- 71222E
-
Benchmarking EUV mask inspection beyond 0.25 NAGoldberg, Kenneth A. / Mochi, Iacopo / Naulleau, Patrick P. / Han, Hakseung / Huh, Sungmin et al. | 2008
- 71222F
-
The study of EUVL mask defect inspection technology for 32-nm half-pitch node device and beyondShigemura, Hiroyuki / Amano, Tsuyoshi / Nishiyama, Yasushi / Suga, Osamu / Terasawa, Tsuneo / Arisawa, Yukiyasu / Hashimoto, Hideaki / Kameya, Norio / Takeda, Masaya / Kikuiri, Nobutaka et al. | 2008
- 71222G
-
An investigation of EUV lithography defectivityCummings, Kevin D. / Laursen, Thomas / Pierson, Bill / Han, Sang-in / Watso, Robert / van Dommelen, Youri / Lee, Brian / Deng, Yunfei / La Fontaine, Bruno / Wallow, Thomas et al. | 2008
- 71222H
-
Study of EUVL mask defect repair using FIB-GAE methodAmano, Tsuyoshi / Nishiyama, Yasushi / Shigemura, Hiroyuki / Terasawa, Tsuneo / Suga, Osamu / Shiina, Kensuke / Aramaki, Fumio / Hagiwara, Ryoji / Yasaka, Anto et al. | 2008
- 71222I
-
Analysis of process margin in EUV mask repair with nano-machiningLee, Su-Young / Kim, Geun-Bae / Sim, Hong-Seok / Lee, Sang-Hyeon / Kim, Hwa-Sung / Lee, Jung-Hwan / Seo, Hwan-Seok / Han, Hak-Seung / Kim, Seong-Sue / Moon, Seong-Yong et al. | 2008
- 71222J
-
Ga implantation and interlayer mixing during FIB repair of EUV mask defectsNishiyama, Yasushi / Amano, Tsuyoshi / Shigemura, Hiroyuki / Terasawa, Tsuneo / Suga, Osamu / Kozakai, Tomokazu / Aramaki, Fumio / Shiina, Kensuke / Yasaka, Anto / Hagiwara, Ryoji et al. | 2008
- 71222K
-
Defect inspection of imprinted 32 nm half pitch patternsSelinidis, Kosta / Thompson, Ecron / McMackin, Ian / Perez, Joseph / Sreenivasan, S. V. / Resnick, Douglas J. et al. | 2008
- 71222L
-
Evaluation of e-beam repair for nanoimprint templatesPritschow, Marcus / Boegli, Volker / Butschke, Joerg / Irmscher, Mathias / Resnick, Douglas / Sailer, Holger / Selinidis, Kosta / Thompson, Ecron et al. | 2008
- 71222M
-
Diamonds in the rough: key performance indicators for reticles and design setsAckmann, Paul et al. | 2008
- 71222N
-
Accelerated data communication of semiconductor design filesFairbank, Gregory H. et al. | 2008
- 71222O
-
Compute resource management and turn around time control in mask data prepLewis, Travis / Goad, Scott / Jantzen, Kenneth / Nouh, Ahmed / Park, Minyoung / Sahouria, Emile / Schulze, Steffen et al. | 2008
- 71222P
-
International photomask linewidth comparison by NIST and PTBPotzick, J. / Dixson, R. / Quintanilha, R. / Stocker, M. / Vladar, A. / Buhr, E. / Häßler-Grohne, W. / Bodermann, B. / Frase, C. G. / Bosse, H. et al. | 2008
- 71222Q
-
Re-calibration of the NIST SRM 2059 master standard using traceable atomic force microscope metrologyDixson, Ronald / Potzick, James / Orji, Ndubuisi G. et al. | 2008
- 71222R
-
A study of the limited area scanning system in the mask CD-SEMIwai, Toshi / Shida, Soichi / Hiroyama, Mitsuo / Nakamura, Takayuki / Sakaguchi, Hisaya / Ueno, Hiroki / Higuchi, Masaru / Aihara, Tatsuya et al. | 2008
- 71222S
-
Exploring new metrology for complex photomask patternsHiguchi, Masaru / Aihara, Tatsuya / Saito, Hideaki / Yonekura, Isao / Suzuki, Jotaro / Gallagher, Emily / Stobert, Ian P. et al. | 2008
- 71222T
-
New method of 2-dimensional metrology using mask contouringMatsuoka, Ryoichi / Yamagata, Yoshikazu / Sugiyama, Akiyuki / Toyoda, Yasutaka et al. | 2008
- 71222U
-
Comparative scatterometric CD measurements on a MoSi photo mask using different metrology toolsRichter, Jan / Rudolf, Jens / Bodermann, Bernd / Lam, John C. et al. | 2008
- 71222V
-
Mask process monitoring with optical CD measurements for sub-50-nmBang, Kyung-Yoon / Park, Jin-Back / Roh, Jeong-Hun / Chung, Dong-Hoon / Cho, Sung-Yong / Kim, Yong-Hoon / Woo, Sang-Gyun / Cho, Han-Ku et al. | 2008
- 71222X
-
Improvement in metrology on new 3D-AFM platformSchmitz, Ingo / Osborn, Marc / Hand, Sean / Chen, Qi et al. | 2008
- 71222Y
-
Development of a 1.5D reference comparator for position and straightness metrology on photomasksFlügge, J. / Köning, R. / Weichert, Ch. / Häßler-Grohne, W. / Geckeler, R. D. / Wiegmann, A. / Schulz, M. / Elster, C. / Bosse, H. et al. | 2008
- 71222Z
-
Photomask registration and overlay metrology by means of 193 nm opticsKlose, Gerd / Kerwien, Norbert / Arnz, Michael / Beyer, Dirk / Rosenkranz, Norbert et al. | 2008
- 71223A
-
Effects of photo resist erosion in development on critical dimension performance for 45nm node and belowHwang, Guen-Ho / Kim, Dong-Hyun / Yu, Chu-bong / Kang, Byeng-Sun / Hur, Ik-Boum / Shin, Cheol / Jung, Sung-Mo / Choi, Sang-Soo et al. | 2008
- 71223C
-
Novel CD measurement and precise pattern size extraction method for optical imagesFaivishevsky, Lev et al. | 2008
- 71223E
-
A novel approach to mask defect inspectionSagiv, Amir / Shirman, Yuri / Mangan, Shmoolik et al. | 2008
- 71223F
-
Automated reticle inspection data analysis for wafer fabsSummers, Derek / Chen, Gong / Reese, Bryan / Hutchinson, Trent / Liesching, Marcus / Ying, Hai / Dover, Russell et al. | 2008
- 71223G
-
Results from the KLA-Tencor TeraScanXR reticle inspection toolDayal, Aditya / Mu, Bo / Iyer, Venkat / Lim, Phillip / Goonesekera, Arosha / Broadbent, Bill et al. | 2008
- 71223H
-
Layout driven DNIRKageyama, Kiyoshi / Yanagisawa, Kohei / Kobayashi, Atsushi / Kunitani, Shinji / Tonooka, Yoji et al. | 2008
- 71223J
-
Improving cost of ownership on KLA-Tencor wafer fab reticle inspections by implementing pixel migration via new STARlight2+ capabilityCheng, Yung-Feng / Lo, Wei-Cyuan / Chen, Ming-Jui / Huang, Peter / Chen, Chunlin / Chakravarty, Swapnajit / Yu, Paul / Dover, Russell et al. | 2008
- 71223L
-
An effective haze monitoring methodLu, Shih-Ping / Chiou, Shean-Hwan / Tseng, Wen-Jui et al. | 2008
- 71223M
-
Results of new mask contamination inspection capability STARlight2+ 72nm pixel with cell-to-cell HiRes5 for qualifying memory masks in wafer fabsBadoni, Raj / Zhu, Jinggang / Dover, Russell / Schmidt, Norbert / Lang, Michael / Jahnke, Andreas / Uhlig, Florian et al. | 2008
- 71223N
-
SL2+: H5 use caseIto, Kosuke / Liu, Steven / Lee, Isaac / Dover, Russell / Yu, Paul et al. | 2008
- 71223O
-
Evaluation of backside particle contamination and electrostatic chuck design on the cleanliness of EUV reticle mask blanks in a multilayer Mo/Si ion beam deposition systemHayes, A. V. / Randive, R. / Reiss, I. / Menendez, J. / Kearney, P. / Sugiyama, T. et al. | 2008
- 71223P
-
UV NIL template making and imprint evaluationSasaki, Shiho / Hiraka, Takaaki / Mizuochi, Jun / Fujii, Akiko / Sakai, Yuko / Sutou, Takanori / Yusa, Satoshi / Kuriyama, Koki / Sakaki, Masashi / Morikawa, Yasutaka et al. | 2008
- 71223Q
-
Development status of back-end process for UV-NIL template fabricationInazuki, Yuichi / Itoh, Kimio / Hatakeyama, Sho / Kojima, Kouichirou / Kurihara, Masaaki / Morikawa, Yasutaka / Mohri, Hiroshi / Hayashi, Naoya et al. | 2008
- 71223R
-
Non-uniform yield optimization for integrated circuit layout considering global interactionsTorres, J. Andres / Pikus, Fedor G. et al. | 2008
- 71223S
-
Fast and simple modeling of non-rectangular transistorsWuu, Jen-Yi / Pikus, Fedor G. / Marek-Sadowska, Malgorzata et al. | 2008
- 71223T
-
Study of influence to transistor properties on the change of OPC patternSugawa, Kazuya / Nagase, Norimasa / Itoh, Takahisa / Sakurai, Mitsuo / Okada, Tomoyuki et al. | 2008
- 71223U
-
Mask data prioritization based on design intentKato, Kokoro / Endo, Masakazu / Inoue, Tadao / Yamabe, Masaki et al. | 2008
- 71223W
-
Extracting mask error function from intensity slicesZiger, David et al. | 2008
- 71223Y
-
Focus blur model to enhance lithography model for optical proximity correctionZhang, Qiaolin / Song, Hua / Lucas, Kevin et al. | 2008
- 71223Z
-
32nm design rule and process exploration flowZhang, Yunqiang / Cobb, Jonathan / Yang, Amy / Li, Ji / Lucas, Kevin / Sethi, Satyendra et al. | 2008
- 71224A
-
Sizing algorithm with continuous customizable clipping [7122-157]Morales, D. / Baytelman, F. / Araya, H. / BACUS (Technical group) / SPIE (Society) et al. | 2008
- 71224A
-
Sizing algorithm with continuous customizable clippingMorales, Domingo / Baytelman, Felipe / Araya, Hugo et al. | 2008
- 712201
-
Front Matter: Volume 7122| 2008
- 712202
-
Lithography and design in partnership: a new roadmapKahng, Andrew B. et al. | 2008
- 712204
-
Mask industry assessment: 2008 [7122-02]Hughes, G. / Yun, H. / BACUS (Technical group) / SPIE (Society) et al. | 2008
- 712204
-
Mask industry assessment: 2008Hughes, Greg / Yun, Henry et al. | 2008
- 712207
-
PMJ panel discussion overview on mask complexities, cost, and cycle time in 32-nm system LSI generation: conflict or concurrent?Hosono, Kunihiro / Kato, Kokoro et al. | 2008
- 712209
-
Characterization of binary and attenuated phase shift mask blanks for 32nm mask fabricationFaure, Thomas / Gallagher, Emily / Hibbs, Michael / Kindt, Louis / Racette, Ken / Wistrom, Richard / Zweber, Amy / Wagner, Alfred / Kikuchi, Yasutaka / Komizo, Toru et al. | 2008
- 712210
-
Impact of MegaSonic process conditions on PRE and sub-resolution assist feature damageHelbig, Stefan / Urban, Sabine / Klein, Elizabeth / Singh, Sherjang et al. | 2008
- 712211
-
Tunable droplet momentum and cavitation process for damage-free cleaning of challenging particlesGouk, Roman / Papanu, James / Li, Fred / Jeon, Jason / Liu, Tong / Yalamanchili, Rao et al. | 2008
- 712212
-
Phase shift improvement in ArF/KrF haze-free mask cleaningLiu, Cathy / Zhao, Shirley / Guo, Eric / Hasegawa, Shinichi / Nemoto, Keiichi / Kuwajima, Tsuneaki et al. | 2008
- 712213
-
Crystal growth printability in an advanced foundry FAB: a correlation study between STARlight and ultra broadband BrightField inspection technologies [7122-38]Ng, T.H. / Rahmat, M.F.b. / Saville, B. / Pak, P. / Chia, W. / Chin, A. / VanRiet, M. / Dover, R. / Badoni, R. / BACUS (Technical group) et al. | 2008
- 712213
-
Crystal growth printability in an advanced foundry FAB: a correlation study between STARlight and ultra broadband BrightField inspection technologiesNg, Teng Hwee / bin Rahmat, Mohammed Fahmy / Saville, Barry / Pak, Patrick / Chia, WeeTeck / Chin, Aaron / VanRiet, Mike / Dover, Russell / Badoni, Raj et al. | 2008
- 712215
-
Report of latent contamination factors inducing lithographic variationRyu, Jin Ho / Seo, Kang Joon / Ryu, Ji Sun / Kim, Chang Yeol et al. | 2008
- 712216
-
Detection of progressive transmission loss due to haze with Galileo mask DUV transmittance mapping based on non imaging opticsLabovitz, Steven / Ben-Zvi, Guy / Dmitriev, Vladimir / Graitzer, Erez / Zait, Eitan et al. | 2008
- 712217
-
A new paradigm for haze improvement: retardation of haze occurrence by creating mask substrate insensitive to chemical contamination levelLee, Han-Shin / Choi, Jaehyuck / Jung, Jin-Sik / Oh, Jong-Keun / Kang, Soo-Jung / Jeong, Hae-Young / Woo, Sang-Gyun / Cho, HanKu et al. | 2008
- 712218
-
Simulation analysis of backside defects printability in 193nm photolithographyRyu, Jisun / Lee, Dongwook / Ryu, Jinho / Jeong, Sookyeong / Kim, SangPyo / Kim, Changyeol et al. | 2008
- 712219
-
Optimal mask characterization by Surrogate Wafer Print (SWaP) methodKimmel, Kurt R. / Hoellein, Ingo / Peters, Jan Hendrick / Ackmann, Paul / Connolly, Brid / West, Craig et al. | 2008
- 712220
-
Concurrent optimization of MDP, mask writing, and mask inspection for mask manufacturing cost reductionYamabe, Masaki / Inoue, Tadao / Shoji, Masahiro / Yasuda, Hiroshi / Hoshi, Hiromichi / Tokita, Masakazu et al. | 2008
- 712221
-
Design for manufacturability guideline development: integrated foundry approach [7122-73]Lee, H. / Shim, Y.-A. / Choi, J.-Y. / Choi, K.-S. / Wu, J. / Su, B. / Zhou, X. / Kim, K. / BACUS (Technical group) / SPIE (Society) et al. | 2008
- 712221
-
Design for manufacturability guideline development: integrated foundry approachLee, Hyesung / Shim, Yeon-Ah / Choi, Jae-Young / Choi, Kwang-Seon / Wu, Joanne / Su, Bo / Zhou, Xinwei / Kim, Kenny et al. | 2008
- 712222
-
Flexible sensitivity inspection with TK-CMI software for criticality-awarenessDriessen, Frank A. J. M. / Gunawerdana, Jamila / Saito, Yakuko / Tsuchiya, Hideo / Tsuji, Yoshitake et al. | 2008
- 712223
-
Improving contact and via process latitude through selective upsizingYuan, C. / Abeln, G. / Anthony, B. / Chen, G. / Robertson, S. / Walker, P. et al. | 2008
- 712224
-
Assist feature aware double patterning decompositionCork, Christopher / Barnes, Levi / Luk-Pat, Gerard et al. | 2008
- 712225
-
Development status of EUVL mask blanksShiromo, Kazuaki et al. | 2008
- 712226
-
Chemical durability studies of Ru-capped EUV mask blanksShimomura, Takeya / Liang, Ted et al. | 2008
- 712227
-
EUVL practical mask structure with light shield area for 32nm half pitch and beyondKamo, Takashi / Aoyama, Hajime / Tanaka, Toshihiko / Suga, Osamu / Abe, Tsukasa / Takikawa, Tadahiko / Hayashi, Naoya / Shoki, Tsutomu / Usui, Youichi / Hosoya, Morio et al. | 2008
- 712228
-
Control of the sidewall angle of an absorber stack using the Faraday cage system for the change of pattern printability in EUVLJang, Il-Yong / Huh, Sung-Min / Moon, Seong-Yong / Woo, Sang-Gyun / Lee, Jin-Kwan / Moon, Sang Heup / Cho, HanKu et al. | 2008
- 712230
-
World wide matching of registration metrology tools of various generationsLaske, F. / Pudnos, A. / Mackey, L. / Tran, P. / Higuchi, M. / Enkrich, C. / Roeth, K.-D. / Schmidt, K.-H. / Adam, D. / Bender, J. et al. | 2008
- 712231
-
Spectroscopic ellipsometry applications in photomask technologySynowicki, Ron A. / Hilfiker, James N. et al. | 2008
- 712233
-
True reticle cost saving by multi level reticle approachStruck, Thomas / Kirbach, Hendrik et al. | 2008
- 712234
-
Technology interactions on reticle deliveryAckmann, Paul / Goad, Scott / West, Craig et al. | 2008
- 712235
-
Deflection unit for multi-beam mask makingLetzkus, Florian / Butschke, Joerg / Irmscher, Mathias / Jurisch, Michael / Klingler, Wolfram / Platzgummer, Elmar / Klein, Christof / Loeschner, Hans / Springer, Reinhard et al. | 2008
- 712236
-
Mask patterning for the 22nm node using a proton multi-beam projection pattern generatorButschke, Joerg / Irmscher, Mathias / Sailer, Holger / Nedelmann, Lorenz / Pritschow, Marcus / Loeschner, Hans / Platzgummer, Elmar et al. | 2008
- 712237
-
High accuracy jog CD control on OPC pattern by advanced laser writer Sigma7500Chin, Tomas / Wu, Wen-Bin / Shih, Chiang-Lin et al. | 2008
- 712238
-
70nm DRAM intra-field CDU improvement by dose modulation on mask transmittanceChin, Tomas / Wu, Wen Bin / Shih, Chiang Lin / Fan, Pei Cheng / Zvi, Guy Ben et al. | 2008
- 712239
-
The study of CD behavior due to transmission control position change within photomask substrateKim, Munsik / Lee, Hyemi / Woo, Sungha / Seo, Kangjoon / Choi, Yongkyoo / Kim, Changyeol et al. | 2008
- 712240
-
Accelerate OPC convergence with new iteration control methodologyWang, Ching-Heng / Liu, Qingwei / Zhang, Liguo et al. | 2008
- 712241
-
Enhanced DCT2-based inverse mask synthesis with initial SRAF insertionShen, Shanhu / Yu, Peng / Pan, David Z. et al. | 2008
- 712242
-
Empirical study of OPC metrology requirements for 32-nm node logicWard, Brian S. / Zavylova, Lena / de Bisschop, Peter / van de Kerkhove, Jeroen et al. | 2008
- 712243
-
Adaptive automatic fragmentationHabib, Mohamed Serag El-Din et al. | 2008
- 712244
-
Enhancing OPC model stability and predictability using SEM image contoursHabib, Mohamed Serag El-Din et al. | 2008
- 712245
-
Characterizing OPC model accuracy versus lens induced polarization effects in hyper NA immersion lithographyTawfik, Tamer M. / Tejnil, Edita et al. | 2008
- 712248
-
Toward faster OPC convergence: advanced analysis for OPC iterations and simulation environmentBahnas, Mohamed / Al-Imam, Mohamed / Tawfik, Tamer et al. | 2008
- 712249
-
OPC cycle time reduction and accuracy improvement by early access to advanced Tachyon modeling of TWINSCAN XT:1900i scannerNikolsky, Peter / Nooitgedagt, Tjitte / van Adrichem, Paul / Meessen, Jeroen / Kohler, Carsten / Wisse, Baukje / Hunsche, Stefan et al. | 2008
-
Effects of heated substrates on bimetallic thermal resist for lithography and grayscale photomask applications [7122-20]Dykes, J.M. / Tsui, P. / Leung, J. / Chapman, G.H. / BACUS (Technical group) / SPIE (Society) et al. | 2008
-
Auto-classification and simulation of mask defects using SEM and CAD images [7122-122]Kang, T.-Y. / Lee, H.-C. / Zhang, H. / Yamada, K. / Kitayama, Y. / Kobayashi, K. / Fiekowsky, P. / BACUS (Technical group) / SPIE (Society) et al. | 2008
-
Evaluating practical vs. theoretical inspection system capability with a new programmed defect test mask designed for 3X and 4X technology nodes [7122-52]Glasser, J. / Pratt, T. / BACUS (Technical group) / SPIE (Society) et al. | 2008
-
Convergence-based OPC method for dense simulations [7122-57]Desouky, T. / BACUS (Technical group) / SPIE (Society) et al. | 2008
-
PMJ panel discussion overview on mask complexities, cost, and cycle time in 32-nm system LSI generation: conflict or concurrent? [7122-05]Hosono, K. / Kato, K. / BACUS (Technical group) / SPIE (Society) et al. | 2008
-
Wafer plane inspection evaluated for photomask production (First Place Best Paper Award) [7122-46]Gallagher, E. / Badger, K. / Lawliss, M. / Kodera, Y. / Azpiroz, J.T. / Pang, S. / Zhang, H. / Eugenieva, E. / Clifford, C. / Goonesekera, A. et al. | 2008
-
Control of the sidewall angle of an absorber stack using the Faraday cage system for the change of pattern printability in EUVL [7122-80]Jang, I.-Y. / Huh, S.-M. / Moon, S.-Y. / Woo, S.-G. / Lee, J.-K. / Moon, S.H. / Cho, H. / BACUS (Technical group) / SPIE (Society) et al. | 2008
-
Signal analysis for the actinic full-field EUVL mask blank inspection system [7122-85]Yamane, T. / Iwasaki, T. / Tanaka, T. / Terasawa, T. / Suga, O. / Tomie, T. / BACUS (Technical group) / SPIE (Society) et al. | 2008
-
Evaluation of backside particle contamination and electrostatic chuck design on the cleanliness of EUV reticle mask blanks in a multilayer Mo/Si ion beam deposition system [7122-133]Hayes, A.V. / Randive, R. / Reiss, I. / Menendez, J. / Kearney, P. / Sugiyama, T. / BACUS (Technical group) / SPIE (Society) et al. | 2008
-
Enhanced DCT2-based inverse mask synthesis with initial SRAF insertion [7122-146]Shen, S. / Yu, P. / Pan, D.Z. / BACUS (Technical group) / SPIE (Society) et al. | 2008
-
Process control of chrome dry etching by complete characterization of the RF power delivery [7122-12]Sass, B. / Schubert, R. / Jakubski, T. / Mauermann, S. / Nesladek, P. / Wiswesser, A. / Gindra, K.-H. / Malone, R. / BACUS (Technical group) / SPIE (Society) et al. | 2008
-
New electron optics for mask writer EBM-7000 to challenge hp 32nm generation [7122-17]Kamikubo, T. / Golladay, S. / Kendall, R. / Katsap, V. / Ohtoshi, K. / Ogasawara, M. / Nishimura, S. / Nishimura, R. / Iizuka, O. / Nakayama, T. et al. | 2008
-
Double-patterning decomposition, design compliance, and verification algorithms at 32nm hp [7122-26]Tritchkov, A. / Glotov, P. / Komirenko, S. / Sahouria, E. / Torres, A. / Seoud, A. / Wiaux, V. / BACUS (Technical group) / SPIE (Society) et al. | 2008
-
Advanced mask technique to improve bit line CD uniformity of 90 nm node flash memory in low-k1 lithography [7122-32]Kim, J. / Choi, J. / Han, J. / BACUS (Technical group) / SPIE (Society) et al. | 2008
-
Defining a physically accurate laser bandwidth input for optical proximity correction (OPC) and modeling [7122-62]Lalovic, I. / Kritsun, O. / McGowan, S. / Bendik, J. / Smith, M. / Farrar, N. / BACUS (Technical group) / SPIE (Society) et al. | 2008
-
Evaluation of 32nm advanced immersion lithography pellicles [7122-09]Zhou, N. / Racette, K. / Hibbs, M. / Mizoguchi, T. / Hasselbeck, D. / Barrett, M. / Nolan, R. / Houle, F. / Ritter, J. / Wagner, A. et al. | 2008
-
Road to a zero degree total temperature range post exposure bake process [7122-10]Wahler, T. / Dress, P. / BACUS (Technical group) / SPIE (Society) et al. | 2008
-
Double dipole RET investigation for 32 nm metal layers [7122-25]Babcock, C. / Zou, Y. / Dunn, D. / Baum, Z. / Zhao, Z. / Matthew, I. / LaCour, P. / BACUS (Technical group) / SPIE (Society) et al. | 2008
-
Concurrent optimization of MDP, mask writing, and mask inspection for mask manufacturing cost reduction [7122-72]Yamabe, M. / Inoue, T. / Shoji, M. / Yasuda, H. / Hoshi, H. / Tokita, M. / BACUS (Technical group) / SPIE (Society) et al. | 2008
-
EUVL practical mask structure with light shield area for 32nm half pitch and beyond [7122-79]Kamo, T. / Aoyama, H. / Tanaka, T. / Suga, O. / Abe, T. / Takikawa, T. / Hayashi, N. / Shoki, T. / Usui, Y. / Hosoya, M. et al. | 2008
-
The study of EUVL mask defect inspection technology for 32-nm half-pitch node device and beyond [7122-87]Shigemura, H. / Amano, T. / Nishiyama, Y. / Suga, O. / Terasawa, T. / Arisawa, Y. / Hashimoto, H. / Kameya, N. / Takeda, M. / Kikuiri, N. et al. | 2008
-
Photomask registration and overlay metrology by means of 193 nm optics [7122-107]Klose, G. / Kerwien, N. / Arnz, M. / Beyer, D. / Rosenkranz, N. / BACUS (Technical group) / SPIE (Society) et al. | 2008
-
Results from the KLA-Tencor TeraScanXR reticle inspection tool [7122-125]Dayal, A. / Mu, B. / Iyer, V. / Lim, P. / Goonesekera, A. / Broadbent, B. / BACUS (Technical group) / SPIE (Society) et al. | 2008
-
Results of new mask contamination inspection capability STARlight2+ 72nm pixel with cell-to-cell HiRes5 for qualifying memory masks in wafer fabs [7122-131]Badoni, R. / Zhu, J. / Dover, R. / Schmidt, N. / Lang, M. / Jahnke, A. / Uhlig, F. / BACUS (Technical group) / SPIE (Society) et al. | 2008
-
Lithography and design in partnership: a new roadmap (AL08 Plenary Paper) [7122-500]Kahng, A.B. / BACUS (Technical group) / SPIE (Society) et al. | 2008
-
Impact of the OMOG substrate on 32 nm mask OPC inspectability, defect sensitivity, and mask design rule restrictions [7122-08]Badger, K. / Kodera, Y. / Gallagher, E. / Lawliss, M. / BACUS (Technical group) / SPIE (Society) et al. | 2008
-
Evaluation of Double Process Lithography (DPL) with bi-layer photo-resist process for contact layer-patterning [7122-22]Chen, G. / Wu, K. / BACUS (Technical group) / SPIE (Society) et al. | 2008
-
Pixel-based SRAF implementation for 32nm lithography process [7122-27]Kim, B.-S. / Kim, Y.-H. / Lee, S.-H. / Kim, S.-I. / Ha, S.-R. / Kim, J. / Tritchkov, A. / BACUS (Technical group) / SPIE (Society) et al. | 2008
-
Detection of progressive transmission loss due to haze with Galileo mask DUV transmittance mapping based on non imaging optics [7122-41]Labovitz, S. / Ben-Zvi, G. / Dmitriev, V. / Graitzer, E. / Zait, E. / BACUS (Technical group) / SPIE (Society) et al. | 2008
-
Wafer plane inspection with soft resist thresholding [7122-47]Hess, C. / Shi, R. / Wihl, M. / Xiong, Y. / Pang, S. / BACUS (Technical group) / SPIE (Society) et al. | 2008
-
Benchmark of rigorous methods for electromagnetic field simulations [7122-63]Burger, S. / Zschiedrich, L. / Schmidt, F. / Evanschitzky, P. / Erdmann, A. / BACUS (Technical group) / SPIE (Society) et al. | 2008
-
E-beam exposure system using multi column cell (MCC) with CP for mask writing [7122-18]Yamada, A. / Yasuda, H. / Yamabe, M. / BACUS (Technical group) / SPIE (Society) et al. | 2008
-
A new paradigm for haze improvement: retardation of haze occurrence by creating mask substrate insensitive to chemical contamination level [7122-42]Lee, H. / Choi, J. / Jung, J. / Oh, J. / Kang, S. / Jeong, H. / Woo, S.-G. / Cho, H. / BACUS (Technical group) / SPIE (Society) et al. | 2008
-
Practical laser mask repair in the contemporary production environment [7122-54]Robinson, T. / White, R. / Archuletta, M. / Bozak, R. / BACUS (Technical group) / SPIE (Society) et al. | 2008
-
An investigation of EUV lithography defectivity [7122-88]Cummings, K.D. / Laursen, T. / Pierson, B. / Han, S. / Watso, R. / van Dommelen, Y. / Lee, B. / Deng, Y. / La Fontaine, B. / Wallow, T. et al. | 2008
-
Mask process monitoring with optical CD measurements for sub-50-nm [7122-103]Bang, K.-Y. / Park, J.-B. / Roh, J.-H. / Chung, D.-H. / Cho, S.-Y. / Kim, Y.-H. / Woo, S.-G. / Cho, H.-K. / BACUS (Technical group) / SPIE (Society) et al. | 2008
-
Layout driven DNIR [7122-126]Kageyama, K. / Yanagisawa, K. / Kobayashi, A. / Kunitani, S. / Tonooka, Y. / BACUS (Technical group) / SPIE (Society) et al. | 2008
-
Improving cost of ownership on KLA-Tencor wafer fab reticle inspections by implementing pixel migration via new STARlight2+ capability [7122-128]Cheng, Y.-F. / Lo, W.-C. / Chen, M.-J. / Huang, P. / Chen, C. / Chakravarty, S. / Yu, P. / Dover, R. / BACUS (Technical group) / SPIE (Society) et al. | 2008
-
Integrating Cr and MoSi etch for optimal photomask critical dimension uniformity and phase uniformity [7122-13]Wistrom, R. / Komizo, T. / Nemoto, S. / Reid, A.G. / BACUS (Technical group) / SPIE (Society) et al. | 2008
-
Mask defect printability in the spacer patterning process [7122-23]Miyoshi, S. / Yamaguchi, S. / Hirano, T. / Mashita, H. / Mukai, H. / Kobiki, A. / Kobayashi, Y. / Hashimoto, K. / Inoue, S. / BACUS (Technical group) et al. | 2008
-
Model-based assist feature placement: an inverse imaging approach [7122-28]Poonawala, A. / Painter, B. / Mayhew, J. / BACUS (Technical group) / SPIE (Society) et al. | 2008
-
Challenges for the quality control of assist features for 45nm node technology and beyond [7122-34]Wang, L. / Lukanc, T. / Takahashi, M. / Kim, H.-E. / Phan, K. / Yamazaki, T. / Kojima, Y. / Nozaki, W. / Haraguchi, T. / Okuda, Y. et al. | 2008
-
Combination of rule and pattern based lithography unfriendly pattern detection in OPC flow [7122-58]Kang, J.-H. / Choi, J.-Y. / Shim, Y.-A. / Lee, H.-S. / Su, B. / Chan, W. / Zhang, P. / Wu, J. / Kim, K.-Y. / BACUS (Technical group) et al. | 2008
-
Results obtained with the CHARPAN Engineering Tool and prospects of the ion Mask Exposure Tool (iMET) (Second Place Best Paper Award) [7122-19]Platzgummer, E. / Loeschner, H. / Gross, G. / BACUS (Technical group) / SPIE (Society) et al. | 2008
-
Printability verification for double-patterning technology [7122-24]Luk-Pat, G. / Panaite, P. / Lucas, K. / Cork, C. / Wiaux, V. / Verhaegen, S. / Maenhoudt, M. / BACUS (Technical group) / SPIE (Society) et al. | 2008
-
Optimizing models based OPC fragmentation using genetic algorithms [7122-30]Dipaola, D.A. / Stobert, I. / BACUS (Technical group) / SPIE (Society) et al. | 2008
-
Fast and accurate hybrid subgrid and subcell finite-difference time-domain methods for the simulation of mask electromagnetic effects in sub-45nm lithography [7122-64]Yeung, M.S. / BACUS (Technical group) / SPIE (Society) et al. | 2008
-
Evaluation of e-beam repair for nanoimprint templates [7122-93]Pritschow, M. / Boegli, V. / Butschke, J. / Irmscher, M. / Resnick, D. / Sailer, H. / Selinidis, K. / Thompson, E. / BACUS (Technical group) / SPIE (Society) et al. | 2008
-
High accuracy jog CD control on OPC pattern by advanced laser writer Sigma7500 [7122-116]Chin, T. / Wu, W.-B. / Shih, C.-L. / BACUS (Technical group) / SPIE (Society) et al. | 2008
-
70nm DRAM intra-field CDU improvement by dose modulation on mask transmittance [7122-117]Chin, T. / Wu, W.B. / Shih, C.L. / Fan, P.C. / Zvi, G.B. / BACUS (Technical group) / SPIE (Society) et al. | 2008
-
UV NIL template making and imprint evaluation (Third Place Best Poster Award) [7122-135]Sasaki, S. / Hiraka, T. / Mizuochi, J. / Fujii, A. / Sakai, Y. / Sutou, T. / Yusa, S. / Kuriyama, K. / Sakaki, M. / Morikawa, Y. et al. | 2008
-
Enhancing OPC model stability and predictability using SEM image contours [7122-149]Habib, M.S.E.-D. / BACUS (Technical group) / SPIE (Society) et al. | 2008
-
Phase shift improvement in ArF/KrF haze-free mask cleaning [7122-37]Liu, C. / Zhao, S. / Guo, E. / Hasegawa, S. / Nemoto, K. / Kuwajima, T. / BACUS (Technical group) / SPIE (Society) et al. | 2008
-
Nanomachining photomask repair of complex patterns [7122-53]Robinson, T. / Dinsdale, A. / Archuletta, M. / Bozak, R. / White, R. / BACUS (Technical group) / SPIE (Society) et al. | 2008
-
DFM viewpoints of cell-level layout assessments and indications for concurrent layout optimization [7122-71]Fu, C.-M. / Yeh, P.-H. / Cheng, Y.-K. / Klaver, S. / BACUS (Technical group) / SPIE (Society) et al. | 2008
-
Advanced process capabilities for electron beam based photomask repair in a production environment [7122-55]Garetto, A. / Baur, C. / Oster, J. / Waiblinger, M. / Edinger, K. / BACUS (Technical group) / SPIE (Society) et al. | 2008
-
Automated OPC model collection, cleaning, and calibration flow [7122-59]Drapeau, M. / Ward, B.S. / Falch, B. / BACUS (Technical group) / SPIE (Society) et al. | 2008
-
Development status of EUVL mask blanks [7122-77]Shiromo, K. / BACUS (Technical group) / SPIE (Society) et al. | 2008
-
Benchmarking EUV mask inspection beyond 0.25 NA [7122-86]Goldberg, K.A. / Mochi, I. / Naulleau, P.P. / Han, H. / Huh, S. / BACUS (Technical group) / SPIE (Society) et al. | 2008
-
Deflection unit for multi-beam mask making (First Place Best Poster Award) [7122-114]Letzkus, F. / Butschke, J. / Irmscher, M. / Jurisch, M. / Klingler, W. / Platzgummer, E. / Klein, C. / Loeschner, H. / Springer, R. / BACUS (Technical group) et al. | 2008
-
Technology interactions on reticle delivery [7122-112]Ackmann, P. / Goad, S. / West, C. / BACUS (Technical group) / SPIE (Society) et al. | 2008
-
Effects of photo resist erosion in development on critical dimension performance for 45nm node and below [7122-119]Hwang, G.-H. / Kim, D.-H. / Yu, C. / Kang, B.-S. / Hur, I.-B. / Shin, C. / Jung, S.-M. / Choi, S.-S. / BACUS (Technical group) / SPIE (Society) et al. | 2008
-
Non-uniform yield optimization for integrated circuit layout considering global interactions [7122-137]Torres, J.A. / Pikus, F.G. / BACUS (Technical group) / SPIE (Society) et al. | 2008
-
32nm design rule and process exploration flow [7122-33]Zhang, Y. / Cobb, J. / Yang, A. / Li, J. / Lucas, K. / Sethi, S. / BACUS (Technical group) / SPIE (Society) et al. | 2008
-
Impact of MegaSonic process conditions on PRE and sub-resolution assist feature damage [7122-35]Helbig, S. / Urban, S. / Klein, E. / Singh, S. / BACUS (Technical group) / SPIE (Society) et al. | 2008
-
Smoothing based model for images of buried EUV multilayer defects near absorber features [7122-69]Clifford, C.H. / Neureuther, A.R. / BACUS (Technical group) / SPIE (Society) et al. | 2008
-
Report of latent contamination factors inducing lithographic variation [7122-40]Ryu, J.H. / Seo, K.J. / Ryu, J.S. / Kim, C.Y. / BACUS (Technical group) / SPIE (Society) et al. | 2008
-
Novel mask inspection flow using Sensitivity Control Layers (SCL) on the TeraScanHR-587 platform [7122-51]Hedges, S. / Le, C. / Eickhoff, M. / Wylie, M. / Simmons, T. / Vellanki, V. / McMurran, J. / BACUS (Technical group) / SPIE (Society) et al. | 2008
-
32nm 1-D regular pitch SRAM bitcell design for interference-assisted lithography [7122-56]Greenway, R.T. / Jeong, K. / Kahng, A.B. / Park, C.-H. / Petersen, J.S. / BACUS (Technical group) / SPIE (Society) et al. | 2008
-
Improving contact and via process lattitude through selective upsizing [7122-75]Yuan, C. / Abeln, G. / Anthony, B. / Chen, G. / Robertson, S. / Walker, P. / BACUS (Technical group) / SPIE (Society) et al. | 2008
-
Accelerated data communication of semiconductor design files [7122-95]Fairbank, G.H. / BACUS (Technical group) / SPIE (Society) et al. | 2008
-
Study of EUVL mask defect repair using FIB-GAE method [7122-89]Amano, T. / Nishiyama, Y. / Shigemura, H. / Terasawa, T. / Suga, O. / Shiina, K. / Aramaki, F. / Hagiwara, R. / Yasaka, A. / BACUS (Technical group) et al. | 2008
-
International photomask linewidth comparison by NIST and PTB [7122-97]Potzick, J. / Dixson, R. / Quintanilha, R. / Stocker, M. / Vladar, A. / Buhr, E. / Hassler-Grohne, W. / Bodermann, B. / Frase, C.G. / Bosse, H. et al. | 2008
-
Mask patterning for the 22nm node using a proton multi-beam projection pattern generator (Second Place Best Poster Award) [7122-115]Butschke, J. / Irmscher, M. / Sailer, H. / Nedelmann, L. / Pritschow, M. / Loeschner, H. / Platzgummer, E. / BACUS (Technical group) / SPIE (Society) et al. | 2008
-
Mask data prioritization based on design intent [7122-140]Kato, K. / Endo, M. / Inoue, T. / Yamabe, M. / BACUS (Technical group) / SPIE (Society) et al. | 2008
-
Characterization of binary and attenuated phase shift mask blanks for 32nm mask fabrication [7122-07]Faure, T. / Gallagher, E. / Hibbs, M. / Kindt, L. / Racette, K. / Wistrom, R. / Zweber, A. / Wagner, A. / Kikuchi, Y. / Komizo, T. et al. | 2008
-
AIMS and resist simulation [7122-48]Strossner, U. / Seitz, H. / Birkner, R. / Richter, R. / Scherubl, T. / BACUS (Technical group) / SPIE (Society) et al. | 2008
-
Electric field-induced progressive CD degradation in reticles [7122-14]Rider, G.C. / BACUS (Technical group) / SPIE (Society) et al. | 2008
-
Damage mechanisms and process optimization for photomasks with sub-resolution assist features [7122-16]Kindt, L. / Gallagher, E. / Levin, J. / Kodera, Y. / Okawa, Y. / Sasaki, Y. / BACUS (Technical group) / SPIE (Society) et al. | 2008
-
Revisiting the layout decomposition problem for double patterning lithography (Invited Paper) [7122-21]Kahng, A.B. / Park, C.-H. / Xu, X. / Yao, H. / BACUS (Technical group) / SPIE (Society) et al. | 2008
-
Simulation-based EUV source and mask optimization [7122-70]Fuhner, T. / Erdmann, A. / Evanschitzky, P. / BACUS (Technical group) / SPIE (Society) et al. | 2008
-
Scanner-specific separable models for computational lithography [7122-66]Hunsche, S. / Xie, X. / Zhao, Q. / Liu, H.-Y. / Nikolsky, P. / Ngai, A. / BACUS (Technical group) / SPIE (Society) et al. | 2008
-
Assist feature aware double patterning decomposition [7122-76]Cork, C. / Barnes, L. / Luk-Pat, G. / BACUS (Technical group) / SPIE (Society) et al. | 2008
-
Analysis of process margin in EUV mask repair with nano-machining [7122-90]Lee, S.-Y. / Kim, G.-B. / Sim, H.-S. / Lee, S.-H. / Kim, H.-S. / Lee, J.-H. / Seo, H.-S. / Han, H.-S. / Kim, S.-S. / Moon, S.-Y. et al. | 2008
-
Novel CD measurement and precise pattern size extraction method for optical images [7122-104]Faivishevsky, L. / BACUS (Technical group) / SPIE (Society) et al. | 2008
-
Single exposure is still alive: gate patterning at 45nm technology node [7122-31]Herold, K. / Samuels, D.J. / Dunn, D. / Abdo, A. / Sarma, C. / BACUS (Technical group) / SPIE (Society) et al. | 2008
-
Simulation analysis of backside defects printability in 193nm photolithography [7122-43]Ryu, J. / Lee, D. / Jeong, S. / Kim, S. / Kim, C. / BACUS (Technical group) / SPIE (Society) et al. | 2008
-
AIMS-45 image validation of contact hole patterns after inverse lithography at NA 1.35 [7122-49]Hendrickx, E. / Birkner, R. / Kempsell, M. / Tritchkov, A. / Vandenberghe, G. / Scheruebl, T. / BACUS (Technical group) / SPIE (Society) et al. | 2008
-
Study of second-generation Proximity Gap Suction Development System (PGSD-II) for mask fabrication [7122-11]Sakurai, H. / Terayama, M. / Sakai, M. / Itoh, M. / Ikenaga, O. / Funakoshi, H. / Sato, N. / Nakamizo, K. / Nomura, M. / Saito, Y. et al. | 2008
-
Don't kill canaries! Introducing a new test device to assess the electrostatic risk potential to photomasks [7122-15]Sebald, T. / BACUS (Technical group) / SPIE (Society) et al. | 2008
-
Tunable droplet momentum and cavitation process for damage-free cleaning of challenging particles [7122-36]Gouk, R. / Papanu, J. / Li, F. / Jeon, J. / Liu, T. / Yalamanchili, R. / BACUS (Technical group) / SPIE (Society) et al. | 2008
-
Re-calibration of the NIST SRM 2059 master standard using traceable atomic force microscope metrology [7122-98]Dixson, R. / Potzick, J. / Orji, N.G. / BACUS (Technical group) / SPIE (Society) et al. | 2008
-
A study of the limited area scanning system in the mask CD-SEM [7122-99]Iwai, T. / Shida, S. / Hiroyama, M. / Nakamura, T. / Sakaguchi, H. / Ueno, H. / Higuchi, M. / Aihara, T. / BACUS (Technical group) / SPIE (Society) et al. | 2008
-
New method of 2-dimensional metrology using mask contouring [7122-101]Matsuoka, R. / Yamagata, Y. / Sugiyama, A. / Toyoda, Y. / BACUS (Technical group) / SPIE (Society) et al. | 2008
-
Automated reticle inspection data analysis for wafer fabs [7122-124]Summers, D. / Chen, G. / Reese, B. / Hutchinson, T. / Liesching, M. / Ying, H. / Dover, R. / BACUS (Technical group) / SPIE (Society) et al. | 2008
-
Empirical study of OPC metrology requirements for 32-nm node logic [7122-147]Ward, B.S. / Zavylova, L. / de Bisschop, P. / van de Kerkhove, J. / BACUS (Technical group) / SPIE (Society) et al. | 2008
-
Characterizing OPC model accuracy versus lens induced polarization effects in hyper NA immersion lithography [7122-150]Tawfik, T.M. / Tejnil, E. / BACUS (Technical group) / SPIE (Society) et al. | 2008
-
Integrating Cr and MoSl etch for optimal photomask critical dimension uniformity and phase uniformity [7122-13]Wistrom, R. / Komizo, T. / Nemoto, S. / Reid, A.G. / BACUS (Technical group) / SPIE (Society) et al. | 2008
-
Flexible sensitivity inspection with TK-CMI software for criticality-awareness [7122-74]Driessen, F.A.J.M. / Gunawerdana, J. / Saito, Y. / Tsuchiya, H. / Tsuji, Y. / BACUS (Technical group) / SPIE (Society) et al. | 2008
-
Diamonds in the rough: key performance indicators for reticles and design sets [7122-94]Ackmann, P. / BACUS (Technical group) / SPIE (Society) et al. | 2008
-
Comparative scatterometric CD measurements on a MoSi photo mask using different metrology tools [7122-102]Richter, J. / Rudolf, J. / Bodermann, B. / Lam, J.C. / BACUS (Technical group) / SPIE (Society) et al. | 2008
-
Spectroscopic ellipsometry applications in photomask technology [7122-109]Synowicki, R.A. / Hilfiker, J.N. / Woollam, J.A. / BACUS (Technical group) / SPIE (Society) et al. | 2008
-
True reticle cost saving by multi level reticle approach [7122-111]Struck, T. / Kirbach, H. / BACUS (Technical group) / SPIE (Society) et al. | 2008
-
An effective haze monitoring method [7122-130]Lu, S.-P. / Chiou, S.-H. / Tseng, W.-J. / BACUS (Technical group) / SPIE (Society) et al. | 2008
-
Extracting mask error function from intensity slices [7122-65]Ziger, D. / BACUS (Technical group) / SPIE (Society) et al. | 2008
-
Adaptive automatic fragmentation [7122-148]Habib, M.S.E.-D. / BACUS (Technical group) / SPIE (Society) et al. | 2008
-
Toward faster OPC convergence: advanced analysis for OPC iterations and simulation environment [7122-154]Bahnas, M. / Al-Imam, M. / Tawfik, T. / BACUS (Technical group) / SPIE (Society) et al. | 2008
-
High resolution inspection with wafer plane die: database defect detection [7122-45]Hess, C. / Wihl, M. / Shi, R. / Xiong, Y. / Pang, S. / BACUS (Technical group) / SPIE (Society) et al. | 2008
-
Optimal mask characterization by Surrogate Wafer Print (SWaP) method [7122-44]Kimmel, K.R. / Hoellein, I. / Peters, J.H. / Ackmann, P. / Connolly, B. / West, C. / BACUS (Technical group) / SPIE (Society) et al. | 2008
-
Improvements in accuracy of dense OPC models [7122-61]Kallingal, C. / Oberschmidt, J. / Viswanathan, R. / Abdo, A. / Park, O. / BACUS (Technical group) / SPIE (Society) et al. | 2008
-
Considering MEEF in inverse lithography technology (ILT) and source mask optimization (SMO) [7122-68]Pang, L. / Xiao, G. / Tolani, V. / Hu, P. / Cecil, T. / Dam, T. / Baik, K.-H. / Gleason, B. / BACUS (Technical group) / SPIE (Society) et al. | 2008
-
Pattern placement correction due to bending in EUVL masks [7122-84]Park, S.-J. / Manish, C. / Kamna, M. / Hu, C. / Zhang, G. / Martinez, F.C. / Wilcox, N. / Hsia, K. / Stivers, A.R. / BACUS (Technical group) et al. | 2008
-
Compute resource management and turn around time control in mask data prep [7122-96]Lewis, T. / Goad, S. / Jantzen, K. / Nouh, A. / Park, M. / Sahouria, E. / Schulze, S. / BACUS (Technical group) / SPIE (Society) et al. | 2008
-
Improvement in metrology on new 3D-AFM platform [7122-105]Schmitz, I. / Osborn, M. / Hand, S. / Chen, Q. / BACUS (Technical group) / SPIE (Society) et al. | 2008
-
World wide matching of registration metrology tools of various generations [7122-108]Laske, F. / Pudnos, A. / Mackey, L. / Tran, P. / Higuchi, M. / Enkrich, C. / Roeth, K.-D. / Schmidt, K.-H. / Adam, D. / Bender, J. et al. | 2008
-
The study of CD behavior due to transmission control position change within photomask substrate [7122-118]Kim, M. / Lee, H. / Woo, S. / Seo, K. / Choi, Y. / Kim, C. / BACUS (Technical group) / SPIE (Society) et al. | 2008
-
SL2+: H5 use case [7122-132]Ito, K. / Liu, S. / Lee, I. / Dover, R. / Yu, P. / BACUS (Technical group) / SPIE (Society) et al. | 2008
-
Development status of back-end process for UV-NIL template fabrication [7122-136]Inazuki, Y. / Itoh, K. / Hatakeyama, S. / Kojima, K. / Kurihara, M. / Morikawa, Y. / Mohri, H. / Hayashi, N. / BACUS (Technical group) / SPIE (Society) et al. | 2008
-
Chemical durability studies of Ru-capped EUV mask blanks [7122-78]Shimomura, T. / Liang, T. / BACUS (Technical group) / SPIE (Society) et al. | 2008
-
Ga implantation and interlayer mixing during FIB repair of EUV mask defects [7122-91]Nishiyama, Y. / Amano, T. / Shigemura, H. / Terasawa, T. / Suga, O. / Kozakai, T. / Aramaki, F. / Shiina, K. / Yasaka, A. / Hagiwara, R. et al. | 2008
-
Defect inspection of imprinted 32 nm half pitch patterns [7122-92]Selinidis, K. / Thompson, E. / McMackin, I. / Perez, J. / Sreenivasan, S.V. / Resnick, D.J. / BACUS (Technical group) / SPIE (Society) et al. | 2008
-
Exploring new metrology for complex photomask patterns [7122-100]Higuchi, M. / Aihara, T. / Saito, H. / Yonekura, I. / Suzuki, J. / Gallagher, E. / Stobert, I.P. / BACUS (Technical group) / SPIE (Society) et al. | 2008
-
Development of a 1.5D reference comparator for position and straightness metrology on photomasks [7122-106]Flugge, J. / Koning, R. / Weichert, C. / Hassler-Grohne, W. / Geckeler, R.D. / Wiegmann, A. / Schulz, M. / Elster, C. / Bosse, H. / BACUS (Technical group) et al. | 2008
-
A novel approach to mask defect inspection [7122-50]Sagiv, A. / Shirman, Y. / Mangan, S. / BACUS (Technical group) / SPIE (Society) et al. | 2008
-
Fast and simple modeling of non-rectangular transistors [7122-138]Wuu, J.-Y. / Pikus, F.G. / Marek-Sadowska, M. / BACUS (Technical group) / SPIE (Society) et al. | 2008
-
Study of influence to transistor properties on the change of OPC pattern [7122-139]Sugawa, K. / Nagase, N. / Itoh, T. / Sakurai, M. / Okada, T. / BACUS (Technical group) / SPIE (Society) et al. | 2008
-
Focus blur model to enhance lithography model for optical proximity correction [7122-144]Zhang, Q. / Song, H. / Lucas, K. / BACUS (Technical group) / SPIE (Society) et al. | 2008
-
Accelerate OPC convergence with new iteration control methodology [7122-145]Wang, C.-H. / Liu, Q. / Zhang, L. / BACUS (Technical group) / SPIE (Society) et al. | 2008
-
OPC cycle time reduction and accuracy improvement by early access to advanced Tachyon modeling of TWINSCAN XT:1900i scanner [7122-155]Nikolsky, P. / Nooitgedagt, T. / van Adrichem, P. / Meessen, J. / Kohler, C. / Wisse, B. / Hunsche, S. / BACUS (Technical group) / SPIE (Society) et al. | 2008
-
OPC hotspot identification challenges: ORC vs. PWQ on wafer [7122-151]Poock, A. / McGowan, S. / Weisbuch, F. / Schnasse, G. / Ghaskadvi, R. / BACUS (Technical group) / SPIE (Society) et al. | 2008
-
An efficient method for transfer cross coefficient approximation in model based optical proximity correction [7122-142]Sabatier, R. / Fossati, C. / Bourennane, S. / Di Giacomo, A. / BACUS (Technical group) / SPIE (Society) et al. | 2008
-
AIMS-45 image validation of contact hole patterns after inverse lithography of NA 1.35 [7122-49]Hendrickx, E. / Birkner, R. / Kempsell, M. / Tritchkov, A. / Vandenberghe, G. / Scheruebl, T. / BACUS (Technical group) / SPIE (Society) et al. | 2008