The study of novel PAG containing acid amplifier in EUV resist material (Englisch)
- Neue Suche nach: Joo, Hyun Sang
- Neue Suche nach: Kim, Jin Ho
- Neue Suche nach: Han, Joon Hee
- Neue Suche nach: Bae, Chang Wan
- Neue Suche nach: Shin, Jin Bong
- Neue Suche nach: Lim, Hyun Soon
- Neue Suche nach: Cho, Seung Duk
- Neue Suche nach: Kim, Sam Min
- Neue Suche nach: Joo, Hyun Sang
- Neue Suche nach: Kim, Jin Ho
- Neue Suche nach: Han, Joon Hee
- Neue Suche nach: Bae, Chang Wan
- Neue Suche nach: Shin, Jin Bong
- Neue Suche nach: Lim, Hyun Soon
- Neue Suche nach: Cho, Seung Duk
- Neue Suche nach: Kim, Sam Min
In:
Proc. SPIE
;
8679
; 86792Z
;
2013
-
ISBN:
-
ISSN:
- Aufsatz (Konferenz) / Elektronische Ressource
-
Titel:The study of novel PAG containing acid amplifier in EUV resist material
-
Beteiligte:Joo, Hyun Sang ( Autor:in ) / Kim, Jin Ho ( Autor:in ) / Han, Joon Hee ( Autor:in ) / Bae, Chang Wan ( Autor:in ) / Shin, Jin Bong ( Autor:in ) / Lim, Hyun Soon ( Autor:in ) / Cho, Seung Duk ( Autor:in ) / Kim, Sam Min ( Autor:in )
-
Kongress:Extreme Ultraviolet (EUV) Lithography IV ; 2013 ; San Jose,California,USA
-
Erschienen in:Proc. SPIE ; 8679 ; 86792Z
-
Verlag:
- Neue Suche nach: SPIE
-
Erscheinungsdatum:01.04.2013
-
ISBN:
-
ISSN:
-
DOI:
-
Medientyp:Aufsatz (Konferenz)
-
Format:Elektronische Ressource
-
Sprache:Englisch
-
Datenquelle:
Inhaltsverzeichnis Konferenzband
Die Inhaltsverzeichnisse werden automatisch erzeugt und basieren auf den im Index des TIB-Portals verfügbaren Einzelnachweisen der enthaltenen Beiträge. Die Anzeige der Inhaltsverzeichnisse kann daher unvollständig oder lückenhaft sein.
- 86790A
-
LPP-EUV light source development for high volume manufacturing lithographyMizoguchi, Hakaru / Nakarai, Hiroaki / Abe, Tamotsu / Ohta, Takeshi / Nowak, Krzysztof M. / Kawasuji, Yasufumi / Tanaka, Hiroshi / Watanabe, Yukio / Hori, Tsukasa / Kodama, Takeshi et al. | 2013
- 86790B
-
Advances in computer simulations of LPP sources for EUV lithographyHassanein, A. / Sizyuk, T. et al. | 2013
- 86790C
-
Lifetime and refurbishment of multilayer LPP collector mirrorsFeigl, Torsten / Perske, Marco / Pauer, Hagen / Fiedler, Tobias / Yulin, Sergiy / Kaiser, Norbert / Tünnermann, Andreas / Böwering, Norbert R. / Ershov, Alex I. / de Dea, Silvia et al. | 2013
- 86790D
-
Contamination concerns at the intermediate focus of an extreme ultraviolet light sourceRuzic, David N. / Sporre, John / Elg, Dan / Curreli, Davide et al. | 2013
- 86790F
-
Dressed-photon nanopolishing for extreme ultraviolet mask substrate defect mitigationTeki, Ranganath / Kadaksham, Arun John / Goodwin, Frank / Yatsui, Takashi / Ohtsu, Motoichi et al. | 2013
- 86790G
-
EUV mask defect analysis from mask to wafer printingHyun, Yoonsuk / Seo, Kangjoon / Kim, Kyuyoung / Lee, Inhwan / Lee, Byounghoon / Koo, Sunyoung / Lee, Jongsu / Kim, Sukkyun / Kim, Seomin / Kim, Myoungsoo et al. | 2013
- 86790H
-
Defect printability comparing actinic printing with advanced simulation for EUV masksJang, Il-Yong / Teki, Ranganath / Jindal, Vibhu / Goodwin, Frank / Satake, Masaki / Li, Ying / Peng, Danping / Huh, Sungmin / Kim, Seong-Sue et al. | 2013
- 86790I
-
EUV actinic blank inspection: from prototype to productionTchikoulaeva, Anna / Miyai, Hiroki / Suzuki, Tomohiro / Takehisa, Kiwamu / Kusunose, Haruhiko / Yamane, Takeshi / Terasawa, Tsuneo / Watanabe, Hidehiro / Inoue, Soichi / Mori, Ichiro et al. | 2013
- 86790K
-
Relationship between resist related outgassing and witness sample contamination in the NXE outgas qualification using electrons and EUVPollentier, I. / Lokasani, R. / Gronheid, R. / Hill, S. / Tarrio, C. / Lucatorto, T. et al. | 2013
- 86790L
-
Resist outgassing contamination growth results using both photon and electron exposuresDenbeaux, Gregory / Kandel, Yudhishthir / Kane, Genevieve / Alvardo, Diego / Upadhyaya, Mihir / Khopkar, Yashdeep / Friz, Alexander / Petrillo, Karen / Sohn, Jaewoong / Sarma, Chandra et al. | 2013
- 86790M
-
Study of EUV outgassing spatial distribution toward witness plate in the EUV outgas testerKikuchi, Yukiko / Shiobara, Eishi / Tanaka, Hiroyuki / Takagi, Isamu / Katayama, Kazuhiro / Sugie, Norihiko / Takahashi, Toshiya / Inoue, Soichi / Watanabe, Takeo / Harada, Tetsuo et al. | 2013
- 86790O
-
Balancing lithographic performance and resist outgassing in EUV resistsChang, Shu-Hao / Chen, Shu-Fang / Chen, Ying-Yu / Chien, Ming-Chin / Chien, Shang-Chieh / Lee, Tzu-Lih / Chen, Jack J. H. / Yen, Anthony et al. | 2013
- 86790P
-
EUVL resist-based aberration metrologyFenger, Germain L. / Raghunathan, Sudharshanan / Sun, Lei / Wood, Obert R. / Smith, Bruce W. et al. | 2013
- 86790Q
-
In-situ optical testing of exposure tools via localized wavefront curvature sensingMiyakawa, Ryan / Zhou, Xibin / Goldstein, Michael / Ashworth, Dominic / Cummings, Kevin / Fan, Yu-Jen / Shroff, Yashesh / Denbeaux, Gregory / Kandel, Yudhi / Naulleau, Patrick et al. | 2013
- 86790T
-
Application of phase shift focus monitor in EUVL process controlSun, Lei / Raghunathan, Sudhar / Jindal, Vibhu / Gullikson, Eric / Mangat, Pawitter / Mochi, Iacopo / Goldberg, Kenneth A. / Benk, Markus P. / Kritsun, Oleg / Wallow, Tom et al. | 2013
- 86790U
-
EUV multilayer defect compensation (MDC) by absorber pattern modification, film deposition, and multilayer peeling techniquesPang, Linyong (Leo) / Satake, Masaki / Li, Ying / Hu, Peter / Peng, Danping / Chen, Dongxue / Tolani, Vikram et al. | 2013
- 86790V
-
Evaluation of methods to improve EUV OPC model accuracyCoskun, Tamer H. / Clifford, Chris / Fenger, Germain / Chua, Gek Soon / Standiford, Keith / Schlief, Ralph / Higgins, Craig / Zou, Yi et al. | 2013
- 86790W
-
Fast 3D thick mask model for full-chip EUVL simulationsLiu, Peng / Xie, Xiaobo / Liu, Wei / Gronlund, Keith et al. | 2013
- 86790X
-
Process and proximity correction, and verification for extreme ultraviolet lithographyPerçin, Gökhan / Dai, Huixiong / Huang, Hsu-Ting / Liu, Anwei / Mokhberi, Ali / Zheng, Xin / Ngai, Chris et al. | 2013
- 86790Y
-
Modeling strategies for EUV mask multilayer defect dispositioning and repairErdmann, Andreas / Evanschitzky, Peter / Bret, Tristan / Jonckheere, Rik et al. | 2013
- 86791B
-
Experimental phase defect printability evaluation using a programmed phase defect in EUVL maskTerasawa, Tsuneo / Amano, Tsuyoshi / Oh, Sunghyun / Yamane, Takeshi / Watanabe, Hidehiro et al. | 2013
- 86791D
-
Challenges in EUV mask blank deposition for high volume manufacturingJindal, V. / Kearney, P. / Antohe, A. / Godwin, M. / John, A. / Teki, R. / Harris-Jones, J. / Stinzianni, E. / Goodwin, Frank et al. | 2013
- 86791E
-
Extending Ru capping layer durability under physical force cleaningSingh, SherJang / Dietze, Uwe / Dress, Peter et al. | 2013
- 86791F
-
ASML's NXE platform performance and volume introductionPeeters, Rudy / Lok, Sjoerd / van Alphen, Erwin / Harned, Noreen / Kuerz, Peter / Lowisch, Martin / Meijer, Henk / Ockwell, David / van Setten, Eelco / Schiffelers, Guido et al. | 2013
- 86791G
-
CO2/Sn LPP EUV sources for device development and HVMBrandt, David C. / Fomenkov, Igor V. / Farrar, Nigel R. / La Fontaine, Bruno / Myers, David W. / Brown, Daniel J. / Ershov, Alex I. / Sandstrom, Richard L. / Vaschenko, Georgiy O. / Böwering, Norbert R. et al. | 2013
- 86791H
-
Optics for ASML's NXE:3300B platformLowisch, Martin / Kuerz, Peter / Conradi, Olaf / Wittich, Gero / Seitz, Wolfgang / Kaiser, Winfried et al. | 2013
- 86791I
-
Through-focus EUV multilayer defect repair with nanomachiningMcIntyre, Gregory / Gallagher, Emily / Robinson, Tod / Smith, Adam C. / Lawliss, Mark / LeClaire, Jeffrey / Bozak, Ron / White, Roy / Archuletta, Michael et al. | 2013
- 86791J
-
Patterning challenges of EUV lithography for 1X-nm node DRAM and beyondEom, Tae-Seung / Kim, Hong-Ik / Kang, Choon-Ky / Ryu, Yoon-Jung / Hwang, Seung-Hyun / Lee, Ho-Hyuk / Lim, Hee-Youl / Park, Jeong-Su / Kwak, Noh-Jung / Park, Sungki et al. | 2013
- 86791K
-
Towards manufacturing a 10nm node device with complementary EUV lithographyHermans, Jan V. / Dai, Huixiong / Niroomand, Ardavan / Laidler, David / Mao, Ming / Chen, Yongmei / Leray, Philippe / Ngai, Chris / Cheng, Shaunee et al. | 2013
- 86791L
-
Limitation of OAI + AttPSM in EUVLYu, Shinn-Sheng / Lu, Yen-Cheng / Shih, Chih-Tsung / Chen, Jack J. H. / Yen, Anthony et al. | 2013
- 86791M
-
Experimental verification of EUV mask limitations at high numerical aperturesChao, Rikon / Graeupner, Paul / Gullikson, Eric / Kim, Seong-Sue / Neumann, Jens-Timo / Miyakawa, Ryan / Seo, Hwan-Seok / Neureuther, Andy / Naulleau, Patrick et al. | 2013
- 86791N
-
Homogeneity improvement of TiO2-SiO2glass synthesized by the soot method and its evaluation using the ultrasonic measurement systemKawagishi, Masahiro / Konishi, Junko / Takata, Masaaki / Arakawa, Mototaka / Ohashi, Yuji / Kushibiki, Jun-ichi et al. | 2013
- 86791O
-
Inspection and compositional analysis of sub-20 nm EUV mask blank defects by thin film decoration techniqueJindal, V. / John, A. / Harris-Jones, J. / Kearney, P. / Antohe, A. / Stinzianni, E. / Goodwin, F. / Onoue, T. et al. | 2013
- 86791P
-
Propagation of surface topography of EUV blank substrate through multilayer and impact of phase defect structure on wafer imageAmano, Tsuyoshi / Terasawa, Tsuneo et al. | 2013
- 86791Q
-
Modeling studies on alternative EUV mask concepts for higher NAErdmann, Andreas / Fühner, Tim / Evanschitzky, Peter / Neumann, Jens Timo / Ruoff, Johannes / Gräupner, Paul et al. | 2013
- 86791R
-
Low thermal expansion material (LTEM) cleaning and optimization for extreme ultraviolet (EUV) blank depositionKadaksham, Arun J. / Teki, Ranganath / Godwin, Milton / House, Matt / Goodwin, Frank et al. | 2013
- 86791T
-
Evaluation of novel projection electron microscopy (PEM) optics for EUV mask inspectionHirano, Ryoichi / Iida, Susumu / Amano, Tsuyoshi / Terasawa, Tsuneo / Watanabe, Hidehiro / Terao, Kenji et al. | 2013
- 86791U
-
Registration accuracy improvement of fiducial mark on EUVL mask with MIRAI EUV ABI prototypeMurachi, Tetsunori / Amano, Tsuyoshi et al. | 2013
- 86791V
-
Study on EUV mask defect inspection with hp 16nm node using simulated projection electron microscope imagesIida, Susumu / Amano, Tsuyoshi / Hirano, Ryoichi / Terasawa, Tsuneo / Watanabe, Hidehiro et al. | 2013
- 86791W
-
Development of 3D Monte Carlo simulations for predicting multilayer geometry of pit-type EUV defectsSpivey, Robert F. / Teki, Ranganath / Lu, T.-M. et al. | 2013
- 86791X
-
Effect of phase defect shape on ABI signal intensity and defect image intensity on wafer with simulationTakagi, Noriaki / Terasawa, Tsuneo / Arisawa, Yukiyasu et al. | 2013
- 86791Y
-
Mathematical model for calculating speckle contrast through focusClaus, Rene A. / Neureuther, Andrew R. / Naulleau, Patrick P. et al. | 2013
- 86791Z
-
Evaluating the effect of EUV multilayer buried defects on feature printability using a stochastic resist modelGraves, Trey / Biafore, John / Smith, Mark / Robertson, Stewart / Fang, Chao et al. | 2013
- 86792A
-
Prediction of resist sensitivity for 13.5-nm EUV and 6.x-nm EUV extension from sensitivity for EBLOyama, Tomoko G. / Oshima, Akihiro / Dang, Tuan N. / Enomoto, Satoshi / Washio, Masakazu / Tagawa, Seiichi et al. | 2013
- 86792B
-
Study of LWR reduction and pattern collapse suppression for 16nm node EUV resistsShiobara, Eishi / Kikuchi, Yukiko / Itani, Toshiro et al. | 2013
- 86792C
-
Reaction mechanisms of various chemically amplified EUV and EB resistEnomoto, Satoshi / Oshima, Akihiro / Tagawa, Seiichi et al. | 2013
- 86792D
-
Development of an atomic hydrogen system for treatment of EUV mask blanksMowll, Tyler R. / Kadaksham, Arun J. / Robinson, Zachary R. / Mead, Sarah / Ventrice, Carl A. / Goodwin, Frank et al. | 2013
- 86792E
-
Resist outgassing characterization based on the resist compositions and processSugie, Norihiko / Takahashi, Toshiya / Katayama, Kazuhiro / Takagi, Isamu / Kikuchi, Yukiko / Tanaka, Hiroyuki / Shiobara, Eishi / Inoue, Soichi et al. | 2013
- 86792H
-
Collector optic in-situ Sn removal using hydrogen plasmaSporre, John R. / Elg, Dan / Ruzic, David N. / Srivastava, Shailendra N. / Fomenkov, Igor V. / Brandt, David C. et al. | 2013
- 86792I
-
Laser produced plasma EUV light source for EUVL patterning at 20nm node and beyondFomenkov, Igor V. / Brandt, David C. / Farrar, Nigel R. / La Fontaine, Bruno / Böwering, Norbert R. / Brown, Daniel J. / Ershov, Alex I. / Myers, David W. et al. | 2013
- 86792K
-
Important processes in modeling and optimization of EUV lithography sourcesSizyuk, T. / Hassanein, A. et al. | 2013
- 86792M
-
Magnetic mitigation of debris for EUV sourcesElg, D. / Sporre, J. / Curreli, D. / Ruzic, D. N. / Umstadter, K. R. et al. | 2013
- 86792N
-
Enhancing resolution with pupil filtering for projection printing systems with fixed or restricted illumination angular distributionMcIntyre, Greg / Teeuwen, Leon / Sohmen, Erik / Wood, Obert / Corliss, Daniel / van den Akker, Theo / Bouten, Sander / van Setten, Eelco / Voznyi, Oleg / Han, Sang-In et al. | 2013
- 86792O
-
Roughness and variability in EUV lithography: Who is to blame? (part 1)Vaglio Pret, Alessandro / Gronheid, Roel / Younkin, Todd R. / Winroth, Gustaf / Biafore, John J. / Anno, Yusuke / Hoshiko, Kenji / Constantoudis, Vassilios et al. | 2013
- 86792Q
-
7nm node EUV predictive study of mask LER transference to waferCivay, D. / Nash, E. / Klostermann, U. / Wallow, T. / Mangat, P. / Koh, H. P. / Brooker, P. / Siebert, J. / Levinson, H. J. et al. | 2013
- 86792R
-
Silica aerogel can capture flying particles in EUV toolsOta, Kazuya / Inoue, Jiro et al. | 2013
- 86792S
-
Impact of EUV mask roughness on lithography performanceArisawa, Yukiyasu / Terasawa, Tsuneo / Watanabe, Hidehiro et al. | 2013
- 86792T
-
Track processing optimizations for different EUV resist platforms: preparing for a NXE:3300 baseline processFoubert, Philippe / Matsunaga, Koichi / Shite, Hideo / Shimoaoki, Takeshi / Nafus, Kathleen / Goethals, Anne-Marie / Van Den Heuvel, Dieter / Hermans, Jan / Hendrickx, Eric / Kosugi, Hitoshi et al. | 2013
- 86792U
-
Simulation-assisted layout biasing in EUV lithography and prediction of an optimum resist parameter spaceSarma, Chandra / Biafore, John / Cho, Kyoungyong / Petrillo, Karen / Neisser, Mark et al. | 2013
- 86792V
-
Deep ultraviolet out-of-band characterization of EUVL scanners and resistsLorusso, Gian F. / Matsumiya, Tasaku / Iwashita, Jun / Hirayama, Taku / Hendrickx, Eric et al. | 2013
- 86792W
-
Investigation of coat-develop track system for EUV resist processingHarumoto, Masahiko / Tamada, Osamu / Miyagi, Tadashi / Kaneyama, Koji / Morita, Akihiko / Pieczulewski, Charles / Asai, Masaya et al. | 2013
- 86792X
-
Heat behavior of extreme-ultraviolet pellicle including mesh supportKim, In-Seon / Kim, Eun-Jin / Kim, Ji-Won / Oh, Hye-Keun et al. | 2013
- 86792Y
-
The need for EUV lithography at advanced technology for sustainable wafer costMallik, Arindam / Vansumere, Wim / Ryckaert, Julien / Mercha, Abdelkarim / Horiguchi, Naoto / Demuynck, Steven / Bömmels, Jürgen / Zsolt, Tokei / Vandenberghe, Geert / Ronse, Kurt et al. | 2013
- 86792Z
-
The study of novel PAG containing acid amplifier in EUV resist materialJoo, Hyun Sang / Kim, Jin Ho / Han, Joon Hee / Bae, Chang Wan / Shin, Jin Bong / Lim, Hyun Soon / Cho, Seung Duk / Kim, Sam Min et al. | 2013
- 867901
-
Front Matter: Volume 8679| 2013
- 867903
-
Effects of multilayer period on EUVL imaging for 2X node and beyondLee, Su-Young / Seo, Hwan-Seok / Kim, Tae-Geun / Kim, Sang-Hyun / Chalykh, Roman / Kim, Seong-Sue / Jeon, Chan-Uk et al. | 2013
- 867904
-
Investigation of EUV pellicle feasibilityScaccabarozzi, Luigi / Smith, Dan / Diago, Pedro Rizo / Casimiri, Eric / Dziomkina, Nina / Meijer, Henk et al. | 2013
- 867905
-
EUV resist materials design for 15nm half pitch and belowTsubaki, Hideaki / Tarutani, Shinji / Inoue, Naoki / Takizawa, Hiroo / Goto, Takahiro et al. | 2013
- 867906
-
Oxide nanoparticle EUV resists: toward understanding the mechanism of positive and negative tone patterningChakrabarty, Souvik / Ouyang, Christine / Krysak, Marie / Trikeriotis, Markos / Cho, Kyoungyoung / Giannelis, Emmanuel P. / Ober, Christopher K. et al. | 2013
- 867907
-
Effect of leaving group design on EUV lithography performanceOngayi, Owendi / Jain, Vipul / Coley, Suzanne M. / Valeri, David / Kwok, Amy / Quach, Dung / Wagner, Mike / Cameron, Jim / Thackeray, Jim et al. | 2013
- 867910
-
Evaluation of EUV resist performance with interference lithography towards 11 nm half-pitch and beyondEkinci, Yasin / Vockenhuber, Michaela / Hojeij, Mohamad / Wang, Li / Mojarad, Nassir et al. | 2013
- 867911
-
Resist process applications to improve EUV patterningPetrillo, Karen / Cho, Kyoungyoung / Friz, Alexander / Montgomery, Cecilia / Ashworth, Dominic / Neisser, Mark / Wurm, Stefan / Saito, Takashi / Huli, Lior / Ko, Akiteru et al. | 2013
- 867912
-
Development of molecular resist derivatives for EUV lithographyGreen, D. Patrick / Jain, Vipul / Bailey, Brad / Wagner, Mike / Clark, Michael / Valeri, David / Lakso, Steve et al. | 2013
- 867913
-
Relationship between stochastic effect and resist pattern defect in extreme ultraviolet lithographyKozawa, Takahiro / Santillan, Julius J. / Itani, Toshiro et al. | 2013
- 867914
-
EUV sensitive Si containing hard mask (Si-HM) for PTD and NTD process in EUVLShibayama, Wataru / Shigaki, Shuhei / Sakamoto, Rikimaru / Onishi, Ryuji / Yaguchi, Hiroaki / Ho, Bang Ching et al. | 2013
- 867915
-
Mask effects for high-NA EUV: impact of NA, chief-ray-angle, and reduction ratioNeumann, Jens T. / Gräupner, Paul / Kaiser, Winfried / Garreis, Reiner / Geh, Bernd et al. | 2013
- 867916
-
Considerations for high-numerical aperture EUV lithographyLevinson, Harry J. / Mangat, Pawitter / Wallow, Thomas / Sun, Lei / Ackmann, Paul / Meyers, Sheldon et al. | 2013
- 867917
-
Projection optics for extreme ultraviolet lithography (EUVL) micro-field exposure tools (METs) with a numerical aperture of 0.5Glatzel, Holger / Ashworth, Dominic / Bremer, Mark / Chin, Rodney / Cummings, Kevin / Girard, Luc / Goldstein, Michael / Gullikson, Eric / Hudyma, Russ / Kennon, Jim et al. | 2013
- 867918
-
Mask 3D effects and compensation for high NA EUV lithographyRaghunathan, Sudharshanan / McIntyre, Greg / Fenger, Germain / Wood, Obert et al. | 2013
- 867919
-
Commissioning an EUV mask microscope for lithography generations reaching 8 nmGoldberg, Kenneth A. / Mochi, Iacopo / Benk, Markus / Allezy, Arnaud P. / Dickinson, Michael R. / Cork, Carl W. / Zehm, Daniel / Macdougall, James B. / Anderson, Erik / Salmassi, Farhad et al. | 2013
- 867920
-
Effects of varying the parameters in witness-sample-based photoresist outgas testing: dependence of the carbon growth on pumping speed and the dose, time, and area of resist exposureTarrio, C. / Berg, R. F. / Hill, S. B. / Grantham, S. / Faradzhev, N. S. / Lucatorto, T. B. et al. | 2013
- 867921
-
Status of EUV reflectometry at PTBLaubis, Christian / Barboutis, Annett / Biel, Martin / Buchholz, Christian / Dubrau, Benjamin / Fischer, Andreas / Hesse, Anne / Puls, Jana / Stadelhoff, Christian / Soltwisch, Victor et al. | 2013
- 867922
-
Hartmann wavefront sensor for EUV radiationMann, K. / Flöter, B. / Mey, T. / Schäfer, B. / Keitel, B. / Plönjes, E. / Tiedtke, K. et al. | 2013
- 867923
-
A reverse design method for EUV lithography illumination systemMei, Qiuli / Li, Yanqiu / Liu, Fei et al. | 2013
- 867924
-
Patterning at 6.5 nm wavelength using interference lithographyMojarad, Nassir / Vockenhuber, Michaela / Wang, Li / Terhalle, Bernd / Ekinci, Yasin et al. | 2013
- 867925
-
Simulation analysis of LER and dose tradeoffs for EUV resists with photo-decomposable quenchersBhattarai, Suchit / Neureuther, Andrew R. / Naulleau, Patrick P. et al. | 2013
- 867926
-
Relation between sensitivity and resolution in polymer bound PAGs and polymer blend PAGsEnomoto, Satoshi / Dang, Tuan Nguyen / Dinh, Cong Que / Tagawa, Seiichi et al. | 2013
- 867928
-
Stochastic resist patterning simulation using attenuated PSM for EUV lithographyHong, Seongchul / Jeong, Seejun / Lee, Jae Uk / Lee, Seung Min / Kim, Jongseok / Doh, Jonggul / Ahn, Jinho et al. | 2013
- 867929
-
Quencher distribution engineering for out-of-band insensitive EUV resists: experiments and stochastic simulationChien, Shang-Chieh / Chang, Shu-Hao / Wu, Jui-Ching / Chen, Jack J. H. / Yen, Anthony et al. | 2013
- 867934
-
Optimizing XPS tool performance for characterizing trace contamination elements for EUV resist outgas testingUpadhyaya, Mihir / Kandel, Yudhishthir / Denbeaux, Gregory / Montgomery, Cecilia / Fan, Yu-Jen et al. | 2013
- 867937
-
Effect of cleaning on EUV masksRoberts, Jeffrey W. et al. | 2013
-
Balancing lithographic performance and resist outgassing in EUV resists [8679-23]Chang, S.-H. / Chen, S.-F. / Chen, Y.-Y. / Chien, M.-C. / Chien, S.-C. / Lee, T.-L. / Chen, J.J.-H. / Yen, A. / Society of Photo-optical Instrumentation Engineers (United States) et al. | 2013
-
Resist outgassing characterization based on the resist compositions and process [8679-85]Sugie, N. / Takahashi, T. / Katayama, K. / Takagi, I. / Kikuchi, Y. / Tanaka, H. / Shiobara, E. / Inoue, S. / Society of Photo-optical Instrumentation Engineers (United States) et al. | 2013
-
Collector optic in-situ Sn removal using hydrogen plasma [8679-88]Sporre, J.R. / Elg, D. / Ruzic, D.N. / Srivastava, S.N. / Fomenkov, I.V. / Brandt, D.C. / Society of Photo-optical Instrumentation Engineers (United States) et al. | 2013
-
The study of novel PAG containing acid amplifier in EUV resist material [8679-108]Joo, H.S. / Kim, J.H. / Han, J.H. / Bae, C.W. / Shin, J.B. / Lim, H.S. / Cho, S.D. / Kim, S.M. / Society of Photo-optical Instrumentation Engineers (United States) et al. | 2013
-
Development of molecular resist derivatives for EUV lithography [8679-37]Green, D.P. / Jain, V. / Bailey, B. / Wagner, M. / Clark, M. / Valeri, D. / Lakso, S. / Society of Photo-optical Instrumentation Engineers (United States) et al. | 2013
-
Experimental phase defect printability evaluation using a programmed phase defect in EUVL mask [8679-46]Terasawa, T. / Amano, T. / Oh, S. / Yamane, T. / Watanabe, H. / Society of Photo-optical Instrumentation Engineers (United States) et al. | 2013
-
Towards manufacturing a 10nm node device with complementary EUV lithography (Invited Paper) [8679-55]Hermans, J.V. / Dai, H. / Niroomand, A. / Laidler, D. / Mao, M. / Chen, Y. / Leray, P. / Ngai, C. / Cheng, S. / Society of Photo-optical Instrumentation Engineers (United States) et al. | 2013
-
Registration accuracy improvement of fiducial mark on EUVL mask with MIRAI EUV ABI prototype [8679-65]Murachi, T. / Amano, T. / Society of Photo-optical Instrumentation Engineers (United States) et al. | 2013
-
Low thermal expansion material (LTEM) cleaning and optimization for extreme ultraviolet (EUV) blank deposition [8679-62]Kadaksham, A.J. / Teki, R. / Godwin, M. / House, M. / Goodwin, F. / Society of Photo-optical Instrumentation Engineers (United States) et al. | 2013
-
Patterning at 6.5 nm wavelength using interference lithography [8679-75]Mojarad, N. / Vockenhuber, M. / Wang, L. / Terhalle, B. / Ekinci, Y. / Society of Photo-optical Instrumentation Engineers (United States) et al. | 2013
-
Relation between sensitivity and resolution in polymer bound PAGs and polymer blend PAGs [8679-77]Enomoto, S. / Dang, T.N. / Dinh, C.Q. / Tagawa, S. / Society of Photo-optical Instrumentation Engineers (United States) et al. | 2013
-
Laser produced plasma EUV light source for EUVL patterning at 20nm node and beyond [8679-89]Fomenkov, I.V. / Brandt, D.C. / Farrar, N.R. / La Fontaine, B. / Bowering, N.R. / Brown, D.J. / Ershov, A.I. / Myers, D.W. / Society of Photo-optical Instrumentation Engineers (United States) et al. | 2013
-
7nm node EUV predictive study of mask LER transference to wafer [8679-97]Civay, D. / Nash, E. / Klostermann, U. / Wallow, T. / Mangat, P. / Koh, H.P. / Brooker, P. / Siebert, J. / Levinson, H.J. / Society of Photo-optical Instrumentation Engineers (United States) et al. | 2013
-
Effect of cleaning on EUV masks [8679-116]Roberts, J.W. / Society of Photo-optical Instrumentation Engineers (United States) et al. | 2013
-
EUV multilayer defect compensation (MDC) by absorber pattern modification, film deposition, and multilayer peeling techniques [8679-29]Pang, L. / Satake, M. / Li, Y. / Hu, P. / Peng, D. / Chen, D. / Tolani, V. / Society of Photo-optical Instrumentation Engineers (United States) et al. | 2013
-
Modeling strategies for EUV mask multilayer defect dispositioning and repair [8679-33]Erdmann, A. / Evanschitzky, P. / Bret, T. / Jonckheere, R. / Society of Photo-optical Instrumentation Engineers (United States) et al. | 2013
-
Considerations for high-numerical aperture EUV lithography (Invited Paper) [8679-41]Levinson, H.J. / Mangat, P. / Wallow, T. / Sun, L. / Ackmann, P. / Meyers, S. / Society of Photo-optical Instrumentation Engineers (United States) et al. | 2013
-
Optics for ASML's NXE:3300B platform (Invited Paper) [8679-52]Lowisch, M. / Kuerz, P. / Conradi, O. / Wittich, G. / Seitz, W. / Kaiser, W. / Society of Photo-optical Instrumentation Engineers (United States) et al. | 2013
-
Through-focus EUV multilayer defect repair with nanomachining (Invited Paper) [8679-53]McIntyre, G. / Gallagher, E. / Robinson, T. / Smith, A.C. / Lawliss, M. / LeClaire, J. / Bozak, R. / White, R. / Archuletta, M. / Society of Photo-optical Instrumentation Engineers (United States) et al. | 2013
-
Silica aerogel can capture flying particles in EUV tools [8679-98]Ota, K. / Inoue, J. / Society of Photo-optical Instrumentation Engineers (United States) et al. | 2013
-
Oxide nanoparticle EUV resists: toward understanding the mechanism of positive and negative tone patterning [8679-5]Chakrabarty, S. / Ouyang, C. / Krysak, M. / Trikeriotis, M. / Cho, K. / Giannelis, E.P. / Ober, C.K. / Society of Photo-optical Instrumentation Engineers (United States) et al. | 2013
-
Evaluation of EUV resist performance with interference lithography towards 11 nm half-pitch and beyond [8679-35]Ekinci, Y. / Vockenhuber, M. / Hojeij, M. / Wang, L. / Mojarad, N. / Society of Photo-optical Instrumentation Engineers (United States) et al. | 2013
-
Commissioning an EUV mask microscope for lithography generations reaching 8 nm [8679-44]Goldberg, K.A. / Mochi, I. / Benk, M. / Allezy, A.P. / Dickinson, M.R. / Cork, C.W. / Zehm, D. / Macdougall, J.B. / Anderson, E. / Salmassi, F. et al. | 2013
-
Extending Ru capping layer durability under physical force cleaning [8679-49]Singh, S. / Dietze, U. / Dress, P. / Society of Photo-optical Instrumentation Engineers (United States) et al. | 2013
-
Experimental verification of EUV mask limitations at high numerical apertures [8679-57]Chao, R. / Graeupner, P. / Gullikson, E. / Kim, S.-S. / Neumann, J.-T. / Miyakawa, R. / Seo, H.-S. / Neureuther, A. / Naulleau, P. / Society of Photo-optical Instrumentation Engineers (United States) et al. | 2013
-
Study on EUV mask defect inspection with hp 16nm node using simulated projection electron microscope images [8679-66]Iida, S. / Amano, T. / Hirano, R. / Terasawa, T. / Watanabe, H. / Society of Photo-optical Instrumentation Engineers (United States) et al. | 2013
-
Study of LWR reduction and pattern collapse suppression for 16nm node EUV resists [8679-82]Shiobara, E. / Kikuchi, Y. / Itani, T. / Society of Photo-optical Instrumentation Engineers (United States) et al. | 2013
-
Process and proximity correction, and verification for extreme ultraviolet lithography [8679-32]Percin, G. / Dai, H. / Huang, H.-T. / Liu, A. / Mokhberi, A. / Zheng, X. / Ngai, C. / Society of Photo-optical Instrumentation Engineers (United States) et al. | 2013
-
Mathematical model for calculating speckle contrast through focus [8679-69]Claus, R.A. / Neureuther, A.R. / Naulleau, P.P. / Society of Photo-optical Instrumentation Engineers (United States) et al. | 2013
-
Evaluating the effect of EUV multilayer buried defects on feature printability using a stochastic resist model [8679-70]Graves, T. / Biafore, J. / Smith, M. / Robertson, S. / Fang, C. / Society of Photo-optical Instrumentation Engineers (United States) et al. | 2013
-
Hartmann wavefront sensor for EUV radiation [8679-73]Mann, K. / Floter, B. / Mey, T. / Schafer, B. / Keitel, B. / Plonjes, E. / Tiedtke, K. / Society of Photo-optical Instrumentation Engineers (United States) et al. | 2013
-
Magnetic mitigation of debris for EUV sources [8679-93]Elg, D. / Sporre, J. / Curreli, D. / Ruzic, D.N. / Umstadter, K.R. / Society of Photo-optical Instrumentation Engineers (United States) et al. | 2013
-
Enhancing resolution with pupil filtering for projection printing systems with fixed or restricted illumination angular distribution [8679-94]McIntyre, G. / Teeuwen, L. / Sohmen, E. / Wood, O. / Corliss, D. / van den Akker, T. / Bouten, S. / van Setten, E. / Voznyi, O. / Han, S.-I. et al. | 2013
-
Impact of EUV mask roughness on lithography performance [8679-99]Arisawa, Y. / Terasawa, T. / Watanabe, H. / Society of Photo-optical Instrumentation Engineers (United States) et al. | 2013
-
Relationship between resist related outgassing and witness sample contamination in the NXE outgas qualification using electrons and EUV [8679-19]Pollentier, I. / Lokasani, R. / Gronheid, R. / Hill, S. / Tarrio, C. / Lucatorto, T. / Society of Photo-optical Instrumentation Engineers (United States) et al. | 2013
-
In-situ optical testing of exposure tools via localized wavefront curvature sensing [8679-25]Miyakawa, R. / Zhou, X. / Goldstein, M. / Ashworth, D. / Cummings, K. / Fan, Y.-J. / Shroff, Y. / Denbeaux, G. / Kandel, Y. / Naulleau, P. et al. | 2013
-
CO~2/Sn LPP EUV sources for device development and HVM (Invited Paper) [8679-51]Brandt, D.C. / Fomenkov, I.V. / Farrar, N.R. / La Fontaine, B. / Myers, D.W. / Brown, D.J. / Ershov, A.I. / Sandstrom, R.L. / Vaschenko, G.O. / Bowering, N.R. et al. | 2013
-
Limitation of OAI + AttPSM in EUVL [8679-56]Yu, S.-S. / Lu, Y.-C. / Shih, C.-T. / Chen, J.J.H. / Yen, A. / Society of Photo-optical Instrumentation Engineers (United States) et al. | 2013
-
Inspection and compositional analysis of sub-20 nm EUV mask blank defects by thin film decoration technique [8679-59]Jindal, V. / John, A. / Harris-Jones, J. / Kearney, P. / Antohe, A. / Stinzianni, E. / Goodwin, F. / Onoue, T. / Society of Photo-optical Instrumentation Engineers (United States) et al. | 2013
-
Evaluation of novel projection electron microscopy (PEM) optics for EUV mask inspection [8679-64]Hirano, R. / Iida, S. / Amano, T. / Terasawa, T. / Watanabe, H. / Terao, K. / Society of Photo-optical Instrumentation Engineers (United States) et al. | 2013
-
Status of EUV reflectometry at PTB [8679-72]Laubis, C. / Barboutis, A. / Biel, M. / Buchholz, C. / Dubrau, B. / Fischer, A. / Hesse, A. / Puls, J. / Stadelhoff, C. / Soltwisch, V. et al. | 2013
-
The need for EUV lithography at advanced technology for sustainable wafer cost [8679-107]Mallik, A. / Vansumere, W. / Ryckaert, J. / Mercha, A. / Horiguchi, N. / Demuynck, S. / Bommels, J. / Zsolt, T. / Vandenberghe, G. / Ronse, K. et al. | 2013
-
EUVL resist-based aberration metrology [8679-24]Fenger, G.L. / Raghunathan, S. / Sun, L. / Wood, O.R. / Smith, B.W. / Society of Photo-optical Instrumentation Engineers (United States) et al. | 2013
-
EUV sensitive Si containing hard mask (Si-HM) for PTD and NTD process in EUVL [8679-39]Shibayama, W. / Shigaki, S. / Sakamoto, R. / Onishi, R. / Yaguchi, H. / Ho, B.C. / Society of Photo-optical Instrumentation Engineers (United States) et al. | 2013
-
Challenges in EUV mask blank deposition for high volume manufacturing [8679-48]Jindal, V. / Kearney, P. / Antohe, A. / Godwin, M. / John, A. / Teki, R. / Harris-Jones, J. / Stinzianni, E. / Goodwin, F. / Society of Photo-optical Instrumentation Engineers (United States) et al. | 2013
-
Reaction mechanisms of various chemically amplified EUV and EB resist [8679-83]Enomoto, S. / Oshima, A. / Tagawa, S. / Society of Photo-optical Instrumentation Engineers (United States) et al. | 2013
-
Development of an atomic hydrogen system for treatment of EUV mask blanks [8679-84]Mowll, T.R. / Kadaksham, A.J. / Robinson, Z.R. / Mead, S. / Ventrice, C.A. / Goodwin, F. / Society of Photo-optical Instrumentation Engineers (United States) et al. | 2013
-
Roughness and variability in EUV lithography: Who is to blame? (part 1) [8679-95]Pret, A.V. / Gronheid, R. / Younkin, T.R. / Winroth, G. / Biafore, J.J. / Anno, Y. / Hoshiko, K. / Constantoudis, V. / Society of Photo-optical Instrumentation Engineers (United States) et al. | 2013
-
Effects of multilayer period on EUVL imaging for 2X node and beyond (Invited Paper) [8679-2]Lee, S.-Y. / Seo, H.-S. / Kim, T.-G. / Kim, S.-H. / Chalykh, R. / Kim, S.-S. / Jeon, C.-U. / Society of Photo-optical Instrumentation Engineers (United States) et al. | 2013
-
EUV mask defect analysis from mask to wafer printing [8679-15]Hyun, Y. / Seo, K. / Kim, K. / Lee, I. / Lee, B. / Koo, S. / Lee, J. / Kim, S. / Kim, M. / Society of Photo-optical Instrumentation Engineers (United States) et al. | 2013
-
Resist process applications to improve EUV patterning [8679-36]Petrillo, K. / Cho, K. / Friz, A. / Montgomery, C. / Ashworth, D. / Neisser, M. / Wurm, S. / Saito, T. / Huli, L. / Ko, A. et al. | 2013
-
Mask effects for high-NA EUV: impact of NA, chief-ray-angle, and reduction ratio (Invited Paper) [8679-40]Neumann, J.T. / Graupner, P. / Kaiser, W. / Garreis, R. / Geh, B. / Society of Photo-optical Instrumentation Engineers (United States) et al. | 2013
-
Modeling studies on alternative EUV mask concepts for higher NA [8679-61]Erdmann, A. / Fuhner, T. / Evanschitzky, P. / Neumann, J.T. / Ruoff, J. / Graupner, P. / Society of Photo-optical Instrumentation Engineers (United States) et al. | 2013
-
A reverse design method for EUV lithography illumination system [8679-74]Mei, Q. / Li, Y. / Liu, F. / Society of Photo-optical Instrumentation Engineers (United States) et al. | 2013
-
Simulation-assisted layout biasing in EUV lithography and prediction of an optimum resist parameter space [8679-101]Sarma, C. / Biafore, J. / Cho, K. / Petrillo, K. / Neisser, M. / Society of Photo-optical Instrumentation Engineers (United States) et al. | 2013
-
Optimizing XPS tool performance for characterizing trace contamination elements for EUV resist outgas testing [8679-113]Upadhyaya, M. / Kandel, Y. / Denbeaux, G. / Montgomery, C. / Fan, Y.-J. / Society of Photo-optical Instrumentation Engineers (United States) et al. | 2013
-
LPP-EUV light source development for high volume manufacturing lithography [8679-9]Mizoguchi, H. / Nakarai, H. / Abe, T. / Ohta, T. / Nowak, K.M. / Kawasuji, Y. / Tanaka, H. / Watanabe, Y. / Hori, T. / Kodama, T. et al. | 2013
-
Resist outgassing contamination growth results using both photon and electron exposures [8679-20]Denbeaux, G. / Kandel, Y. / Kane, G. / Alvardo, D. / Upadhyaya, M. / Khopkar, Y. / Friz, A. / Petrillo, K. / Sohn, J. / Sarma, C. et al. | 2013
-
Relationship between stochastic effect and resist pattern defect in extreme ultraviolet lithography [8679-38]Kozawa, T. / Santillan, J.J. / Itani, T. / Society of Photo-optical Instrumentation Engineers (United States) et al. | 2013
-
Projection optics for extreme ultraviolet lithography (EUVL) micro-field exposure tools (METs) with a numerical aperture of 0.5 [8679-42]Glatzel, H. / Ashworth, D. / Bremer, M. / Chin, R. / Cummings, K. / Girard, L. / Goldstein, M. / Gullikson, E. / Hudyma, R. / Kennon, J. et al. | 2013
-
ASML's NXE platform performance and volume introduction (Invited Paper) [8679-50]Peeters, R. / Lok, S. / van Alphen, E. / Harned, N. / Kuerz, P. / Lowisch, M. / Meijer, H. / Ockwell, D. / van Setten, E. / Schiffelers, G. et al. | 2013
-
Effect of phase defect shape on ABI signal intensity and defect image intensity on wafer with simulation [8679-68]Takagi, N. / Terasawa, T. / Arisawa, Y. / Society of Photo-optical Instrumentation Engineers (United States) et al. | 2013
-
Stochastic resist patterning simulation using attenuated PSM for EUV lithography [8679-79]Hong, S. / Jeong, S. / Lee, J.U. / Lee, S.M. / Kim, J. / Doh, J. / Ahn, J. / Society of Photo-optical Instrumentation Engineers (United States) et al. | 2013
-
Prediction of resist sensitivity for 13.5-nm EUV and 6.x-nm EUV extension from sensitivity for EBL [8679-81]Oyama, T.G. / Oshima, A. / Dang, T.N. / Enomoto, S. / Washio, M. / Tagawa, S. / Society of Photo-optical Instrumentation Engineers (United States) et al. | 2013
-
Heat behavior of extreme-ultraviolet pellicle including mesh support [8679-105]Kim, I.-S. / Kim, E.-J. / Kim, J.-W. / Oh, H.-K. / Society of Photo-optical Instrumentation Engineers (United States) et al. | 2013
-
EUV resist materials design for 15nm half pitch and below (Invited Paper) [8679-4]Tsubaki, H. / Tarutani, S. / Inoue, N. / Takizawa, H. / Goto, T. / Society of Photo-optical Instrumentation Engineers (United States) et al. | 2013
-
Effect of leaving group design on EUV lithography performance [8679-6]Ongayi, O. / Jain, V. / Coley, S. / Valeri, D. / Kwok, A. / Quach, D. / Wagner, M. / Cameron, J. / Thackeray, J. / Society of Photo-optical Instrumentation Engineers (United States) et al. | 2013
-
Advances in computer simulations of LPP sources for EUV lithography [8679-10]Hassanein, A. / Sizyuk, T. / Society of Photo-optical Instrumentation Engineers (United States) et al. | 2013
-
Dressed-photon nanopolishing for extreme ultraviolet mask substrate defect mitigation [8679-14]Teki, R. / Kadaksham, A.J. / Goodwin, F. / Yatsui, T. / Ohtsu, M. / Society of Photo-optical Instrumentation Engineers (United States) et al. | 2013
-
Patterning challenges of EUV lithography for 1X-nm node DRAM and beyond (Invited Paper) [8679-54]Eom, T.-S. / Kim, H.-I. / Kang, C.-K. / Ryu, Y.-J. / Hwang, S.-H. / Lee, H.-H. / Lim, H.-Y. / Park, J.-S. / Kwak, N.-J. / Park, S. et al. | 2013
-
Propagation of surface topography of EUV blank substrate through multilayer and impact of phase defect structure on wafer image [8679-60]Amano, T. / Terasawa, T. / Society of Photo-optical Instrumentation Engineers (United States) et al. | 2013
-
Important processes in modeling and optimization of EUV lithography sources [8679-91]Sizyuk, T. / Hassanein, A. / Society of Photo-optical Instrumentation Engineers (United States) et al. | 2013
-
Defect printability comparing actinic printing with advanced simulation for EUV masks [8679-16]Jang, I.-Y. / Teki, R. / Jindal, V. / Goodwin, F. / Satake, M. / Li, Y. / Peng, D. / Huh, S. / Kim, S.-S. / Society of Photo-optical Instrumentation Engineers (United States) et al. | 2013
-
Study of EUV outgassing spatial distribution toward witness plate in the EUV outgas tester [8679-21]Kikuchi, Y. / Shiobara, E. / Tanaka, H. / Takagi, I. / Katayama, K. / Sugie, N. / Takahashi, T. / Inoue, S. / Watanabe, T. / Harada, T. et al. | 2013
-
Evaluation of methods to improve EUV OPC model accuracy [8679-30]Coskun, T.H. / Clifford, C. / Fenger, G. / Chua, G.S. / Standiford, K. / Schlief, R. / Higgins, C. / Zou, Y. / Society of Photo-optical Instrumentation Engineers (United States) et al. | 2013
-
Application of phase shift focus monitor in EUVL process control [8679-28]Sun, L. / Raghunathan, S. / Jindal, V. / Gullikson, E. / Mangat, P. / Mochi, I. / Goldberg, K.A. / Benk, M.P. / Kritsun, O. / Wallow, T. et al. | 2013
-
Mask 3D effects and compensation for high NA EUV lithography [8679-43]Raghunathan, S. / McIntyre, G. / Fenger, G. / Wood, O. / Society of Photo-optical Instrumentation Engineers (United States) et al. | 2013
-
Homogeneity improvement of TiO~2-SiO~2 glass synthesized by the soot method and its evaluation using the ultrasonic measurement system [8679-58]Kawagishi, M. / Konishi, J. / Takata, M. / Arakawa, M. / Ohashi, Y. / Kushibiki, J. / Society of Photo-optical Instrumentation Engineers (United States) et al. | 2013
-
Development of 3D Monte Carlo simulations for predicting multilayer geometry of pit-type EUV defects [8679-67]Spivey, R.F. / Teki, R. / Lu, T.-M. / Society of Photo-optical Instrumentation Engineers (United States) et al. | 2013
-
Simulation analysis of LER and dose tradeoffs for EUV resists with photo-decomposable quenchers [8679-76]Bhattarai, S. / Neureuther, A.R. / Naulleau, P.P. / Society of Photo-optical Instrumentation Engineers (United States) et al. | 2013
-
Track processing optimizations for different EUV resist platforms: preparing for a NXE:3300 baseline process [8679-100]Foubert, P. / Matsunaga, K. / Shite, H. / Shimoaoki, T. / Nafus, K. / Goethals, A.-M. / Van Den Heuvel, D. / Hermans, J. / Hendrickx, E. / Kosugi, H. et al. | 2013
-
Deep ultraviolet out-of-band characterization of EUVL scanners and resists [8679-102]Lorusso, G.F. / Matsumiya, T. / Iwashita, J. / Hirayama, T. / Hendrickx, E. / Society of Photo-optical Instrumentation Engineers (United States) et al. | 2013
-
Investigation of EUV pellicle feasibility (Invited Paper) [8679-3]Scaccabarozzi, L. / Smith, D. / Diago, P.R. / Casimiri, E. / Dziomkina, N. / Meijer, H. / Society of Photo-optical Instrumentation Engineers (United States) et al. | 2013
-
Lifetime and refurbishment of multilayer LPP collector mirrors [8679-12]Feigl, T. / Perske, M. / Pauer, H. / Fiedler, T. / Yulin, S. / Kaiser, N. / Tunnermann, A. / Bowering, N.R. / Ershov, A.I. / de Dea, S. et al. | 2013
-
Contamination concerns at the intermediate focus of an extreme ultraviolet light source [8679-13]Ruzic, D.N. / Sporre, J. / Elg, D. / Curreli, D. / Society of Photo-optical Instrumentation Engineers (United States) et al. | 2013
-
EUV actinic blank inspection: from prototype to production [8679-17]Tchikoulaeva, A. / Miyai, H. / Suzuki, T. / Takehisa, K. / Kusunose, H. / Yamane, T. / Terasawa, T. / Watanabe, H. / Inoue, S. / Mori, I. et al. | 2013
-
Fast 3D thick mask model for full-chip EUVL simulations [8679-31]Liu, P. / Xie, X. / Liu, W. / Gronlund, K. / Society of Photo-optical Instrumentation Engineers (United States) et al. | 2013
-
Effects of varying the parameters in witness-sample-based photoresist outgas testing: dependence of the carbon growth on pumping speed and the dose, time, and area of resist exposure [8679-71]Tarrio, C. / Berg, R.F. / Hill, S.B. / Grantham, S. / Faradzhev, N.S. / Lucatorto, T.B. / Society of Photo-optical Instrumentation Engineers (United States) et al. | 2013
-
Quencher distribution engineering for out-of-band insensitive EUV resists: experiments and stochastic simulation [8679-80]Chien, S.-C. / Chang, S.-H. / Wu, J.-C. / Chen, J.J.-H. / Yen, A. / Society of Photo-optical Instrumentation Engineers (United States) et al. | 2013
-
Investigation of coat-develop track system for EUV resist processing [8679-104]Harumoto, M. / Tamada, O. / Miyagi, T. / Kaneyama, K. / Morita, A. / Pieczulewski, C. / Asai, M. / Society of Photo-optical Instrumentation Engineers (United States) et al. | 2013