Effect of textures on elastic constants of Cu thin films (Englisch)
- Neue Suche nach: Chen, L.
- Neue Suche nach: Chen, L.
In:
IPFA, IEEE International Symposium on the Physical and Failure Analysis of Integrated Circuits, 16
;
278-281
;
2009
-
ISBN:
- Aufsatz (Konferenz) / Print
-
Titel:Effect of textures on elastic constants of Cu thin films
-
Beteiligte:Chen, L. ( Autor:in )
-
Erschienen in:
-
Verlag:
- Neue Suche nach: IEEE Operations Center
-
Erscheinungsort:Piscataway
-
Erscheinungsdatum:2009
-
Format / Umfang:4 Seiten, 14 Quellen
-
ISBN:
-
DOI:
-
Medientyp:Aufsatz (Konferenz)
-
Format:Print
-
Sprache:Englisch
-
Schlagwörter:
-
Datenquelle:
Inhaltsverzeichnis Konferenzband
Die Inhaltsverzeichnisse werden automatisch erzeugt und basieren auf den im Index des TIB-Portals verfügbaren Einzelnachweisen der enthaltenen Beiträge. Die Anzeige der Inhaltsverzeichnisse kann daher unvollständig oder lückenhaft sein.
- 1
-
Development of microelectronics reliability technology in ChinaKong Xuedong, / Huang Yun, / Yang Shaohua, et al. | 2009
- 7
-
Logic LSI technology roadmap for 22 nm and beyondIwai, Hiroshi et al. | 2009
- 11
-
Resolution and sensitivity enhancements of scanning optical microscopy techniques for integrated circuit failure analysisPhang, J.C.H. / Goh, S.H. / Quah, A.C.T. / Chua, C.M. / Koh, L.S. / Tan, S.H. / Chua, W.P. et al. | 2009
- 19
-
Improving failure analysis navigation Using optical super resolved imagingGur, Eran / Weizman, Yoav / Zalevsky, Zeev et al. | 2009
- 24
-
Using nanoprobing and SEM doping contrast techniques for failure analysis of current leakage in CMOS HV technologyLin, Hung Sung / Wang, Randy et al. | 2009
- 28
-
An advanced reliability improvement and failure analysis approach to thermal stress issues in IC packagesHertl, Michael / Weidmann, Diane / Ngai, Alex et al. | 2009
- 33
-
RF performance increase allowing IC timing adjustments by use of backside FIB processingSchlangen, Rudolf / Leihkauf, Rainer / Lundquist, Ted / Egger, Peter et al. | 2009
- 37
-
Using a combination of C-AFM and SCM for failure analysis of SRAM leakage in CMOS process with the addition of a DNW moduleLin, Hung Sung / Shu, Wen Cheng et al. | 2009
- 41
-
Source-side engineering to increase holding voltage of LDMOS in a 0.5-m 16-V BCD technology to avoid latch-up failureChen, Wen-Yi / Ker, Ming-Dou / Jou, Yeh-Ning / Huang, Yeh-Jen / Lin, Geeng-Lih et al. | 2009
- 45
-
Chip-level and board-level CDM ESD tests on IC productsKer, Ming-Dou / Chih-Kuo Huang, / Yuan-Wen Hsiao, / Yong-Fen Hsieh, et al. | 2009
- 50
-
Logic failure analysis 65/45nm device using RCI & nano scale probeCho, S.J. / Kim, T.E. / Hong, J.K. / Hong, J.T. / Kim, H.S. / Han, Y.W. / Kwon, S.D. / Oh, Y.S. et al. | 2009
- 54
-
Investigation of thermal budget impact on core CMOS SRAM device in an embedded FLASH technologyLin, Hung Sung / Huang, Vincent et al. | 2009
- 59
-
Failure analysis of process-induced particle contamination acting as masks that block implantation using C-AFM and chemical etchingLin, Hung Sung / Wang, Randy et al. | 2009
- 63
-
Towards a viable TDDB reliability assessment methodology: From breakdown physics to circuit failureWu, Ernest Y. / Sune, Jordi et al. | 2009
- 71
-
Post-breakdown conduction in metal gate/MgO/InP structuresMiranda, E. / O'Connor, E. / Hughes, G. / Casey, P. / Cherkaoui, K. / Monaghan, S. / Long, R. / O'Connell, D. / Hurley, P.K. et al. | 2009
- 75
-
Improving reliability and diminishing parasitic capacitance effects in a vertical transistor with embedded gateJyi-Tsong Lin, / Chih-Hao Kuo, / Tai-Yi Lee, / Yi-Chuen Eng, / Tzu-Feng Chang, / Po-Hsieh Lin, / Hsuan-Hsu Chen, / Chih-Hung Sun, / Hsien-Nan Chiu, et al. | 2009
- 79
-
Comparison of charge trapping in silicon dioxide and hafnium dioxide at nanoscaleYou-Lin Wu, / Chiung-Yi Huang, / Jing-Jenn Lin, et al. | 2009
- 83
-
Study and optimization of hot-carrier degradation in high voltage pledmos transistor with thick gate oxideHong Wu, / Qinsong Qian, / Siyang Liu, / Weifeng Sun, / Longxing Shi, et al. | 2009
- 87
-
The effect of polyimide surface treatment on flip-chip assemblyOng, M.C. / Zhao, X.L. / Lim, S.H. / Chin, J.M. / Chia-Ken Leong, / Lim, Kevin / Kuechenmeister, Frank / Jungnickel, Gotthard / Platz, Alexander / Su, Michael et al. | 2009
- 91
-
Characteristics of a Local Oxidation of silicon multi-tie body polysilicon thin-film transistorHsien-Nan Chiu, / Jyi-Tsong Lin, / Yi-Chuen Eng, / Po-Hiesh Lin, / Tzu-Feng Chang, / Chih-Hung Sun, / Hsuan-Hsu Chen, / Chih-Hao Kuo, et al. | 2009
- 94
-
Failure analysis of Through-Silicon-Vias Aided by high-speed FIB silicon removalGounet, Pascal / Mercier, Michele / Serre, David / Rue, Chad et al. | 2009
- 100
-
Failure analysis overview and its new challengesLi, Susan X. et al. | 2009
- 108
-
Failure analyses of 3D Sip (system-in-package) and WLP (wafer-level package) by finite element methodsLau, John / Xiaowu Zheng, / Selvanayagam, Cheryl et al. | 2009
- 117
-
A novel Negative Bias Temperature Instability model for nanoscale FinfetChenyue Ma, / Bo Li, / He, Frank / Zhang, Xing / Lin, Xinnan et al. | 2009
- 122
-
Trapping/detrapping characteristics of electrons and holes under dynamic NBTI stress on HfO2 and HfSiON gate dielectricsWei-Liang Lin, / Jen-Chung Lou, / Yao-Jen Lee, / Tien-Sheng Chao, et al. | 2009
- 126
-
Improvement of gate oxide reliability with O2 gas ash process in post poly resist strip and spacer etch asher process in 45nm CMOS technologyMahesh, S. / Xue Bin, / Karim, M.F. / Hung Odd, / Zeng Xu, et al. | 2009
- 130
-
Reliability and charge trapping properties of ZrO2 gate dielectric on Si passivated p-GaAsDas, T. / Mahata, C. / Dalapati, G.K / Chi, D. / Sutradhar, G. / Bose, P.K. / Maiti, C. K. et al. | 2009
- 135
-
TEM analysis on the structure of bonding pad interface for bondability issueShuqing Duan, / Ming Li, / Chien, W. T. Kary et al. | 2009
- 138
-
Effect of substrate temperature on structural and electrical properties of K-doped p-ZnO thin filmsWu Jun, / Qin Huibin, / Zheng Liang, / Xu Junming, / Huang Haiyun, / Ying Zhihua, / Yang Yintang, et al. | 2009
- 142
-
ICP etching of high Al concentration AlGaNMa Peng, / Bai Yun, / Zhu Jie, / Liu Jian, et al. | 2009
- 146
-
Electrothermal transport in carbon nanofiber interconnectsYamada, Toshishige / Saito, Tsutomu / Fabris, Drazen / Yang, Cary Y. et al. | 2009
- 150
-
Building-in reliability and challenges in analysis in sub−100nm devices - An overviewRadhakrishnan, M.K. et al. | 2009
- 153
-
Can a MOSFET survive from multiple breakdowns?Li, X. / Tung, C. H. / Pey, K. L. et al. | 2009
- 158
-
Dynamic bias temperature instability of p-channel polycrystalline silicon thin-film transistorsHuang, Ching-Fang / Sun, Hung-Chang / Kuo, Ping-Sheng / Chen, Yen-Ting / Liu, Chee Wee / Hsu, Yuan-Jun / Chen, Jim-Shone et al. | 2009
- 163
-
Comparison of breakdown mechanism of HfO2 and HfSiOx high-k gate dielectrics with N2 RTA treatment on TDDB constant voltage stressLin, Cheng-Li / Mei-Yuan Chou, / Hong, Jia-Jun / Kang, Tsung-Kuei / Shich-Chuan Wu, / Pi-Chun Juan, et al. | 2009
- 169
-
A simulation study of source/drain-tie effects on characteristics of self-aligned π-shaped source/drain ultrathin SOI FETsYi-Chuen Eng, / Jyi-Tsong Lin, / Tzu-Feng Chang, / Chih-Hao Kuo, / Po-Hsieh Lin, / Chih-Hung Sun, / Hsien-Nan Chiu, / Hsuan-Hsu Chen, et al. | 2009
- 174
-
Advanced block oxide MOSFETs for 25 nm technology nodeChih-Hung Sun, / Lin, Jyi-Tsong / Eng, Yi-Chuen / Tzu-Feng Chang, / Lin, Po-Hiesh / Hsuan-Hsu Chen, / Chih-Hao Kuo, / Hsien-Nan Chiu, et al. | 2009
- 178
-
Hot electron stress effect on dual-band power amplifier and integrated mixer-lna design for reliabilityYuan, J.S. / Ma, J. / Hsu, C.W. / Yeh, W.K. et al. | 2009
- 182
-
Fault localization by Dynamic Laser Stimulation extended testingPerdu, P. et al. | 2009
- 191
-
Best test pattern failure analysis flow for functional logic failure localization by IR-OBIRCH techniqueMachouat, A. / Haller, G. / Goubier, V. / Lewis, D. / Perdu, P. / Pouget, V. / Essely, F. et al. | 2009
- 195
-
Electrical characteristics of leakage issues caused by defective Ni salicideToh, S.L. / Tan, P.K. / Hendarto, E. / Deng, Q. / Lin, H.B. / Goh, Y.W. / Zhu, L. / Tan, H. / Wang, Q.F. / He, R. et al. | 2009
- 200
-
Impact of pin configuration in IV characterization on ESD failure analysisGong, Excimer / Qin, Tim / Guo, Annie / Qiang Guo, / Chien, Wei-Ting Kary et al. | 2009
- 204
-
High-temperature Conductive-AFM technique for resolution of soft failuresHuat, Lim Soon / Sun Wanxin, / Narang, Vinod / Chin, J.M. et al. | 2009
- 208
-
A new Methodology for Short Circuit Localization on Integrated Circuits using Magnetic Microscopy Technique Coupled with SimulationsInfante, F. / Perdu, P. / Petremont, S. / Lewis, D. et al. | 2009
- 213
-
Full Dynamic Laser simulation set upDeyine, A. / Sanchez, K. / Perdu, P. / Bourcier, F. / Battistella, F. / Bereil, F. / Le Nouy, P. / Lewis, D. / Deslandes, H. et al. | 2009
- 219
-
THB reliability research for fine pitch substrateWeiwei Feng, / Zhou, Jianwei / Xingming Fu, / Qian Wang, / Jaisung Lee, et al. | 2009
- 224
-
Three zone-reactive wetting ring structure at interface between lead-free Sn-Ag-Cu solder and Ni padLi Xiaoqing, / Lam Tim Fai, et al. | 2009
- 228
-
The study of sensitive circuit and layout for CDM improvementJian-Hsing Lee, / Shih, J.R. / Shawn Guo, / Dao-Hong Yang, / Chen, Jone F. / Su, David / Wu, Kenneth et al. | 2009
- 233
-
Radiation hardened read circuit with high reliability for SOI based SONOS memoryKan Li, / Dong Wu, / Xueqiang Wang, / Fengying Qiao, / Ning Deng, / Liyang Pan, et al. | 2009
- 237
-
A Novel Method to Realize Soft Defect Localization Techniques without a Synchronization Signal for Failure AnalysisWu Chunlei, / Zhai, Linda / Motohiko, Masuda / Liu, Jonathon / Horse Ma, / Liu, John et al. | 2009
- 241
-
Root cause identification of subtle filament shorts in microprocessors using nano-probingLwin, H.E. / Narang, V. / Chin, J.M. et al. | 2009
- 245
-
Investigation of frequency dispersion effect in HfO2/SiO2 Gate StackLiu Hongxia, / Kuang Qianwei, / Luan Suzhen, / Hao Yue, / Zhao Aaron, / Tallavarjula Sai, et al. | 2009
- 248
-
Impacts of electrical properties and reliability on Ge MOS capacitors with surface pretreatmentZou Xiao, / Xu Jing-Ping, et al. | 2009
- 252
-
Performance and application of SiCp/Al composites for electronic packagingQiang Zhang, / Liu, Dan / Guoqin Chen, / Ziyang Xiu, / Gaohui Wu, et al. | 2009
- 256
-
Application of passive voltage contrast fault isolation on 65nm SRAM single bit failureMay Yang, / Sanan Liang, / Linfeng Wu, / Lilung Lai, / Jie Su, / Chorng Niou, / Yoyo Wen, / Yvonne Zhu, et al. | 2009
- 260
-
Trace routing effects on crosstalk signals in high-density integrated circuitsYinchao Chen, / Shuhui Yang, / Liguo Sun, / Kunquan Sun, et al. | 2009
- 264
-
Study on PoP (package-on-package) Assembly TechnologyYang, Hong Zhen / Li, Guo Hong / Cao, Xin Yu et al. | 2009
- 268
-
Mixed mode S-parameters analysis for differential networks in integrated circuitsChen, Yinchao / Shuhui Yang, et al. | 2009
- 274
-
Study on bonding process parameters affecting Cu bump shape based on design of experiment MethodLi, Tianming / Huang, Chunyue et al. | 2009
- 278
-
Effect of textures on elastic constants of Cu thin filmsChen, L. et al. | 2009
- 286
-
Crystal defects analysis using nano-probe technologiesQin, Tim / Ming Zhang, / Excimer Gong, / Guo, Annie / Qiang Guo, / Chien, Wei-Ting Kary et al. | 2009
- 290
-
Interaction and ohmic contact between NiCr alloy and a-Si:H thin films at low temperaturesCai, Haihong / Li, Wei / Li, Zhi / Gong, Yuguang / Jiang, Yadong et al. | 2009
- 294
-
Reliability concern induced by TOW and TIM overlay issue in EEPROMWeihai Fan, / Shunwang Chiang, / Xie, Stephen / Shaha Hu, et al. | 2009
- 298
-
Reliability of high power QCW cm-bar arraysLu Guoguang, / Huang Yun, / En Yunfei, / Yang Shaohua, / Lei Zhifeng, et al. | 2009
- 302
-
Influence of parasitic capacitances in modeling and analysis of advanced floating gate memory devicesMoreira, Andre / da Silva, Jose Machado / Tao, Guoquiao et al. | 2009
- 307
-
Packaging and reliability research on automobiles micro-pressure sensorGuan, R.F. et al. | 2009
- 311
-
Thermal and bias stabilities of InP/InGaAs composite-collector DHBTLin, Y. S. / Jou, Y. J. et al. | 2009
- 315
-
A high-G silicon carbide vertical capacitive micromachined accelerometerTing Yang, / Guosheng Sun, / Yongmei Zhao, / Jin Ning, / Xingfang Liu, / Lei Wang, / Wanshun Zhao, / Yiping Zeng, / Jinmin Li, et al. | 2009
- 319
-
The Research of Temperature characteristics of SiGe HBT and the Reliability under Thermal StressWei-ming, Yang / Wu-tao, Yang / Chen, Xu / Jian-xin, Chen et al. | 2009
- 323
-
The relationship between LED package and reliabilityLu Guoguang, / Huang Yun, / En Yunfei, / Yang Shaohua, / Lei Zhifeng, et al. | 2009
- 327
-
Analysis and experiment of surface bending to grating light modulatorLingna Shi, / Yingjin Pan, / Zhihai Zhang, / Jie Zhang, / Wei Wang, et al. | 2009
- 331
-
Stiction failure of micro-structure in hermetic packagingJunwen Liu, / Qing-an Huang, / Song, Jing / Jieying Tang, et al. | 2009
- 336
-
Effect of metal routing on the ESD robustness of dual-direction silicon controlled rectifierWei Guo, / Li, Mingliang / Dong, Shurong et al. | 2009
- 339
-
AlGaN/GaN HEMT device structure optimization designZhou, Xiaopeng / Cheng, Zhiqun / Hu, Sha / Zhou, Weijian / Zhang, Sheng et al. | 2009
- 344
-
Reliability evaluation of power VDMOSFETYun-Xia Bai, / Chun-Sheng Guo, / Shi-Wei Feng, / Kai-Kai Ding, / Si-Xiang Zhuang, / Rong Su, et al. | 2009
- 348
-
Investigation of the influence of substrate temperature on the thermal resistance and square stability of amorphous titanium-vanadium oxide filmsJu, Y.F. / Wu, Z.M. / Luo, Z.F. / Dong, X. / Jiang, J. / Li, L. / Jiang, Y.D. et al. | 2009
- 352
-
Study on the reliability of Ni/Au/AlGaN/GaN HEMTs at high temperatureLi Fei, / Zhang Xiaoling, / Lu Changzhi, / Wang Yuanchun, / Yuan Qiuchen, et al. | 2009
- 356
-
Analysis of alignment modeling for Nikon steppersFeng He, / Wang, Jun / Zhiming Wu, / Yadong Jiang, / Kai Yuan, et al. | 2009
- 360
-
Wet etch recipe development for removing lead-free C4 bumpsLiu Qibin, / Zhao Xiaole, / Huang Weidong, / Chin, J.M. et al. | 2009
- 363
-
A SCR-buried BJT device for robust ESD protection with high latchup immunity in high-voltage technologyHuang, Chih-Yao / Quo-Ker Chen, / Ming-Fang Lai, / Chiu, Fu-Chien / Tseng, Jen-Chou et al. | 2009
- 368
-
The enhancement of power-rail ESD clamp circuit with gate-substrate-triggered techniqueChih-Ting Yeh, / Yung-Chih Liang, / Zhe-Wei Jiang, / Xin-Yuan Chang, et al. | 2009
- 373
-
Failure analysis of EOS damage case studyQun Zhang, / Peng, Grace / Xia Gao, / Hamilton, Craig et al. | 2009
- 377
-
Investigation of engineering fallout caused by package design marginalityGoh, L.L. / Liew, C.N. et al. | 2009
- 381
-
Failure analysis of digital-analog mixed integrated circuit at high temperatureJinglong Li, / Masuda Motohiko, / Wang, Winter / Yu, Joe / Song, Grace et al. | 2009
- 385
-
The irradiation effect and failure analysis of DC-DC power converterHe, Yujuan / En, Yunfei / Luo, Hongwei / He, Xiaoqi et al. | 2009
- 388
-
Failure analysis of VDMOS in DC/DC converterLiu, Y. / Huang, Ch. Y. / Shan, N. N. / Lu, Ch. Zh. / Gao, G.B. et al. | 2009
- 393
-
Effect of 0.05% Cr on intermetallic compound layer growth for Sn-Ag-Cu Lead-free Solder joint during isothermal agingGuobiao Su, / Yongjiu Han, / Chunyan Wang, / Hongbin Wang, / Xicheng Wei, et al. | 2009
- 397
-
Study of thermal and electrical reliability on multi-chip module packaging for optical sensorXu, B. / Han, J.Q. / Zhan, G. Z. / Guo, F.M. et al. | 2009
- 402
-
The effect of passive component damage of an integrated si bipolar low-noise amplifier under energy-injectionChai, Changchun / Yang, Yang / Zhang, Bing / Ding, Ruixue / Leng, Peng / Ren, Xingrong et al. | 2009
- 406
-
Failure mechanism of Sn3.0Ag0.5Cu flip-chip solder joints under electric current stressLu, Yu-Dong / He, Xiao-Qi / En, Yun-Fei / Wang, Xin et al. | 2009
- 410
-
Thermal analysis of DC/DC moduleWang Yuanchun, / Ma Weidong, / Lv Changzhi, / Li Zhiguo, / Guo Chunsheng, / Li Fei, et al. | 2009
- 414
-
A Novel methodology for dopant contrast enhancement in Si Doped AreaZhu Zhu, / Jinyu Tong, / Lung, Lai Li / Kite Li, / Chang, S.J. et al. | 2009
- 418
-
FEM analysis of SnAgCu solder joint in flip chipYan Xiaoyan Li, / Na Liu, et al. | 2009
- 423
-
A low power scheduling methodology under the timing constraintsWeibin Wang, et al. | 2009
- 426
-
Design of a novel dual pathway ESD protection device using ISE-TCAD| 2009
- 430
-
Reliability problems investigation during the pLEDMOS fabricationQinsong Qian, / Hong Wu, / Siyang Liu, / Weifeng Sun, / Longxing Shi, et al. | 2009
- 434
-
Reliability analysis of ESD for novel image sensor with CMOS readout circuitYe, Y. / Han, J. / Li, J. / Zhan, G. / Zhu, R. / Guo, F. et al. | 2009
- 438
-
Pirani gauge based hermeticity monitoring for un-cooled micro bolometer arrayDong, Tao / Xiao, Bin / Elfving, Anders / Yang, Zhaochu / Tran-Minh, Nhut / Halvorsen, Einar / Hoivik, Nils et al. | 2009
- 443
-
Transient response of bipolar transistor under intense electromagnetic pulse on collectorXi, Xiaowen / Chai, Changchun / Ren, Xingrong / Yang, Yintang / Zhang, Bing et al. | 2009
- 447
-
Experimental research on TF SOI CMOS ring oscillator with EM NMOSFET and AM PMOSFET assemblies at high temperatureHaipeng Zhang, / Lijian Ma, / Tongli Wei, / Yaolan Feng, / Zhengfan Zhang, et al. | 2009
- 451
-
A method to evaluate the skew by data dependent gate loadingYanfeng Jiang, / Xiaobo Zhang, / Bing Yang, / Jiaxin Ju, et al. | 2009
- 455
-
Investigation on failure behaviour of solder joint during thermal fatigueLin, Jian / Lei, Yongping / Zhao, Haiyan / Wu, Zhongwei / Lu, Li et al. | 2009
- 460
-
The investigation of failure mechanism of n-GaN/Ti/Al/Ni/Au ohmic contact by novel TLMMeng, Haijie / Feng, Shiwei / Zhang, Yuezong / Zhang, Guangchen / Guo, Chunsheng / Haitao Deng, et al. | 2009
- 464
-
A novel method for determing the lifetime of devices based on process-stress accelerated degradation testGuo Chunsheng, / Bai Yunxia, / Zhang Yuezong, / Ma Weidong, / Feng Shiwei, / Lv Changzhi, / Li Zhiguo, et al. | 2009
- 468
-
An on-chip monitor for measuring NBTI degradation of digital circuitsZheng-yu Zhong, / Min Cai, / Qun-yong Wang, / Na Li, et al. | 2009
- 472
-
Analysis of degradation of GaN-Based light-emitting diodesLu Wang, / Shiwei Feng, / Chunsheng Guo, / Guangchen Zhang, et al. | 2009
- 476
-
The impact on testing precision of solar modules by the correction parameter of solar simulatorWang Yongqing, / Cai Ailing, / Sun Rongxia, et al. | 2009
- 479
-
Using STEM with quasi-parallel illumination and an automated peak-finding routine for strain analysis at the nanometre scaleSourty, E. / Stanley, J. / Freitag, B. et al. | 2009
- 485
-
Analysis on contact failure of instrument and control switch in nuclear power plantJie, Shi / Jianlin, Yao / Yongnian, Wang / Haining, Shi / Fengsheng, Tu et al. | 2009
- 489
-
Improvement of performance for higher magnification thermal imagingSuzuki, Hiroyoshi / Koshikawa, Kazushige / Kuroda, Takahiko / Ishizuka, Toshimichi / Gyue, Fan Pin / Yuan, Fang Pei / Ji, Wang Jia et al. | 2009
- 493
-
Configurable PVC checking for fault identificationNg, W. / Jacobson, S. / Nguyen, D. / Shen, S. et al. | 2009
- 498
-
Enhanced wafer analysis using a combination of test, emission and software net tracingPortune, R. / Kapilevich, I. / Deslandes, H. / Nicholson, R. / Forli, L. / Thetiot, M. / Posson, S. / Picart, B. et al. | 2009
- 503
-
Combining influential analysis of bonding temperature and power on bonding qualityYanan Zhang, / Lei Han, et al. | 2009
- 507
-
Improvement method of the machine-model ESD robustness for a smart power ICJong-Kyu Song, / Dae-Woo Kim, / Jong-Min Kim, / Won-Young Jung, / Jae-Kyung Wee, et al. | 2009
- 511
-
Affirmation of minority carrier lifetime during industrial process of crystalline silicon solar cell by microwave phtonconductance decay methodMeng, X. J. / Ma, Z. Q. / Lv, P. / Yu, Z. S. / Li, F. et al. | 2009
- 515
-
Advanced dynamic failure analysis on interconnects by vectorized Scanning Joule Expansion microscopyTiedemann, A.-K. / Fakhri, M. / Heiderhoff, R. / Phang, J.C.H. / Balk, L.J. et al. | 2009
- 520
-
Thermal conductivity studies of a GaN-sapphire structure by combined scanning thermal microscopy and electron backscatter diffractionZhang, Y.F. / Wang, L. / Ji, Y. / Han, X.D. / Zhang, Z. / Heiderhoff, R. / Tiedemann, A.-K. / Balk, L. J. et al. | 2009
- 523
-
Capacitance-voltage test using an SEM nanoproberInoue, Kanzan / Stallcup, Richard E. / Sanders, John R. / Cavanah, Taylor / Chng, L.C. et al. | 2009
- 527
-
Method of extracting high-resolution digital Moiré fringe in warpage measurementPing, Zhong / Song, Chen_jie / Luo, Nian et al. | 2009
- 531
-
An integrated baseband VGA with temperature compensation and linear-in dB gain controlLijun Yang, / Haifang Jian, / Yin Shi, / Zhiming Chen, et al. | 2009
- 535
-
Characterization of pad surface defect by TEM, SEM and EDXMing Li, / Jing Zhou, / Qiang Chen, / Kary Chien, W.T. et al. | 2009
- 538
-
Thermal analysis of ICS based on equivalent thermal resistance and skill languageBin Zhang, / Rong Su, / Shiwei Feng, / Guangchen Zhang, / Chunsheng Guo, / Yin Liu, / Kaikai Ding, et al. | 2009
- 541
-
Study on the chip-level thermal non-uniformity evaluation of semiconductor devicesZhang Guangchen, / Feng Shiwei, / Zhang Yuezong, / Su Rong, / Xie Xuesong, / Ge Chenning, et al. | 2009
- 545
-
A study of combining FIB circuit edit and OBIRCH technology for failure analysisJingLin Yan, / Xuesen Liu, / Liu, Mike / YiLing Liu, et al. | 2009
- 552
-
Study of low-kv cleaning method to improve TEM samples prepared by FIBTee Irene, / Li Kun, / Liu Pan, / Du Anyan, / Sean, Seah / Tjioe, Fidelia et al. | 2009
- 555
-
The study of nanosized zeolite films in sensor for DMMP gas detectionHaifen Xie, / Qiong Hu, / Jia Zhou, / Yiping Huang, et al. | 2009
- 559
-
Preparation of mesocarbon microbeads and microstructure evolutionCheng, Y.L / Li, T.H / Li, H. / Jing, D.Q. et al. | 2009
- 563
-
First-principles study of boron doping-induced band gap narrowing in 3C-SiCDing, Ruixue / Yang, Yintang / Ren, Xingrong / Xi, Xiaowen / Zhang, Bing et al. | 2009
- 567
-
Deposition of a-Si:H thin films embedded with nano-crystalline through dilution of argonZhi Li, / Wei Li, / Haihong Cai, / Yuguang Gong, / Yadong Jiang, et al. | 2009
- 572
-
Controlling the growth of VOx films for various optoelectronic applicationsXiaomei Wang, / Xiangdong Xu, / Zhiming Wu, / Yadong Jiang, / Shaowei He, et al. | 2009
- 577
-
Impact of annealing condition on the stability and optical property of vanadium oxide thin filmsZhenfei Luo, / Zhiming Wu, / Tao Wang, / Yadong Jiang, et al. | 2009
- 581
-
Preparation of polyynes organic-inorganic nanocomposites via in-situ synthesis: Structure, morphology and gas sensitivityPing Sun, / Yadong Jiang, / Guangzhong Xie, / Junsheng Yu, / Xiaosong Du, / Jia Hu, et al. | 2009
- 585
-
Property of polyaniline /multi-wall carbon nanotube compositesChangbin Ju, / Yongsheng Wang, / Dawei He, / Ming Fu, / Yufan Du, / Bin Feng, / Jinghua Jiang, et al. | 2009
- 589
-
Infrared characteristics of ni-doped ZnO thin filmsJinghua Jiang, / Dawei He, / Yongsheng Wang, / Ming Fu, / Bin Feng, / Changbin Ju, / Yufan Du, et al. | 2009
- 592
-
Physical and structural properties of HfO2/SiO2 gate stack high-k dielectrics deposited by atomic layer depositionZhou Tao, / Liu Hongxia, / Kuang Qianwei, / Cai Naiqiong, / Hao Yue, / Zhao Aaron, / Tallavarjula Sai, et al. | 2009
- 596
-
Fabrication and study on anode of dye-Sensitized solar cellsChuanbiao Liu, / Aixiang Wei, / Liu, Jun / Jiapeng Lin, et al. | 2009
- 599
-
Energy losing analysis of organic solar cells based on pentacene and C60Huang, Jiang / Yu, Junsheng / Wang, Nana / Jiang, Yadong et al. | 2009
- 599
-
Energy losing analyse of organic solar cells based on pentacene and C60Huang, Jiang / Yu, Junsheng / Nana Wang, / Jiang, Yadong et al. | 2009
- 603
-
Effect of multiple via structure on upstream electromigration in copper interconnectMingte Lin, / Jou, Nick / Liang, James W. / Juan, Alex / Su, K. C. et al. | 2009
- 608
-
New approach: Sample preparation methodology for P-V metal void inspectionChou, Po Fu / Lin, Ru Yu / Tung-Hung Chen, et al. | 2009
- 612
-
Synthesis and characterization of a novel organic phosphorescent Iridium(III) complexHuang, J. / Jiang, Y.D. / Tang, X.Z. / Bi, J. et al. | 2009
- 616
-
A new approach for measuring P-N junction depth of textured silicon solar cellsWu, W. / Li, P. R. / Zhang, L. / Li, J. / Wang, Y. F. / Ma, Z.Q. et al. | 2009
- 619
-
Strain in the process of eliminating wafer bow of finished solar cellZhang, L. / Wu, W. / Liu, H.X. / Li, P. R. / Li, J. / Ma, Z. Q. et al. | 2009
- 622
-
Influence of cooling on the performance of Silicon solar cellsWang, Y.F. / Wu, W. / Li, P.R. / Zhang, L. / Ma, Z.Q. et al. | 2009
- 625
-
Permittivity enhancement and dielectric relaxation of doped hafnium and zirconium oxideWerner, M. / Zhao, C.Z. / Taylor, S. / Chalker, P.R. / Black, K. / Gaskell, J. et al. | 2009
- 628
-
High-k dielectrics' radiation response to X-ray and γ-ray exposureZhao, C.Z. / Werner, M. / Taylor, S. / Chalker, P.R. / Potter, R.J. / Gaskell, J. et al. | 2009
- 631
-
Applications of scanning near-field photon emission microscopyIsakov, D.V. / Tan, B.W.M. / Phang, J.C.H. / Yeo, Y.C. / Tio, A.A.B. / Zhang, Y. / Geinzer, T. / Balk, L.J. et al. | 2009
- 635
-
Unusual defects, generated by wafer sawing: Diagnosis, mechanisms and how to distinguish from related failuresJacob, Peter / Rothkirch, Werner et al. | 2009
- 640
-
Current topics on PV module and system reliabilityLiang Ji, et al. | 2009
- 641
-
Improved retention and cycling characteristics of MONOS memory using Charge-Trapping-EngineeringChin, Albert / Lin, S. H. / Yang, H. J. / Tsai, C. Y. / Yeh, F. S. / Liao, C. C. / Li, M.-F. et al. | 2009
- 647
-
Modeling of radiation-induced displacement damage in silicon solar cells: Frenkel defectMaiti, T. K. / Maiti, C. K. et al. | 2009
- 650
-
Optimization of bonded rail mounting for thin film PV modulesYuqi Jiang, / Xianzhong Song, / Song Ye, / Mingxiang Wang, et al. | 2009
- 656
-
Analysis of delamination and darkening in high power LED packagingLongzao Zhou, / Bing An, / Yiping Wu, / Shunhong Liu, et al. | 2009
- 661
-
The impact on electric characteristics of solar modules by its internal defectsWang Yongqing, / Ailing, Cai / Sun Rongxia, / Guo Yukun, et al. | 2009
- 667
-
Reliability and failure mechanisms of lateral MOSFETs in synchronous DC-DC buck converterYang, Boyi / Yuan, J.S. / Shen, Z. et al. | 2009
- 672
-
Static noise margin analysis of double-gate MOSFETs SRAMQian Xie, / Mei Zhao, / Xu, Jun / Taur, Yuan et al. | 2009
- 677
-
Reliability challenges for advanced copper interconnects: Electromigration and time-dependent dielectric breakdown (TDDB)Gambino, Jeffrey P. / Lee, Tom C. / Chen, Fen / Sullivan, Timothy D. et al. | 2009
- 685
-
A Comprehensive study of reliability improvement for 65nm Cu/Low-k processLiao, C. C. / Gan, Z. H. / Wu, Y. J. / Zheng, K. / Guo, R. / Zhang, L. F. / Ning, Jay et al. | 2009
- 691
-
Chip package interaction (CPI) reliability of Cu/low-k/ultra-low-k interconnectLei Fu, / Su, Michael / Kuechenmeister, Frank / Weidong Huang, et al. | 2009
- 694
-
The effect of microstructure on electromigration induced voidsCeric, H. / de Orio, R. L. / Cervenka, J. / Selberherr, S. et al. | 2009
- 698
-
Scaling effect on electromigration in copper interconnectsYi-Lung Cheng, / Bor-Jou Wei, / Yi-Lung Wang, et al. | 2009
- 703
-
Self-aligned SOI MOSFETs with Ω-shaped conductive layer and source/drain-tieJyi-Tsong Lin, / Tzu-Feng Chang, / Yi-Chuen Eng, / Hsuan-Hsu Chen, / Chih-Hao Kuo, / Chih-Hung Sun, / Po-Hiesh Lin, / Hsien-Nan Chiu, et al. | 2009
- 707
-
Observation of combined self-heating and hot-carrier degradation in n-type poly-Si thin-film transistorsMeng, / Mingxiang, / Huaisheng, / Dongli, / Man, et al. | 2009
- 711
-
Reliability analysis and hygro-thermo-mechanical design for MEMS-based pressure sensorHsu, H.C. / Chu, L.M. / Shieh, W.L. / Weng, M.C. / Hsu, F.R. et al. | 2009
- 717
-
Modeling of Electromigration Failure Distribution of Cu Vias: Critical Current Density Effects and Reliability Extrapolation ProceduresOates, A. S. / Lin, M.H. et al. | 2009
- 719
-
A novel methodology to analyze time-dependent dielectric breakdown failure mechanism of copper/low-k interconnectsTong, Y. / Lim, Y.K. / Chen, C.Q. / Zhang, W.Y. / Tan, J.B. / Sohn, D.K. / Hsia, L.C. et al. | 2009
- 723
-
Electromigration characteristics of copper dual damascene interconnects - line length and via number dependenceCheng, Yi-Lung / Wei, Bor-Jou / Wang, Yi-Lung et al. | 2009
- 727
-
TSV Stress Testing and Modeling for 3D IC ApplicationsChidambaram, Thenappan / McDonough, Colin / Geer, Robert / Wang, Wei et al. | 2009
- 731
-
The effect of microstructure on the electromigration lifetime distributionde Orio, R. L. / Ceric, H. / Cervenka, J. / Selberherr, S. et al. | 2009
- 735
-
Depth-resolved photoemission microscopy for localization of leakage currents in through Silicon Vias (TSVs)Cassidy, C. / Renz, F. / Kraft, J. / Schrank, F. et al. | 2009
- 741
-
Stress relaxation behavior of Cu thin films in electro-thermo-mechanical multiple fieldsWang, Z. J. / Sun, B. / Huang, L. / Liu, G. / Ding, X. D. / Sun, J. et al. | 2009
- 747
-
Investigation on TSOP warpage mechanism and improvement methodLei Wang, / Zhenqing Zhao, / Jianhui Wang, / Long Wen, / Qian Wang, / Jaisung Lee, et al. | 2009
- 751
-
Characterization and simulation of SiGe HBT degradation induced by electromagnetic field stressAlaeddine, A. / Kadi, M. / Daoud, K. / Beydoun, B. / Blavette, D. et al. | 2009
- 756
-
Degradation of RF and noise characteristics of InP/InGaAs double heterojunction bipolar transistors under high reverse base-collector voltageWang, H. / Ng, C. W. et al. | 2009
- 759
-
Clogging failure in microfilter for blood cell separation and its novel improvementsDong, Tao / Yang, Zhaochu / Egeland, Eirik Bentzen / Karlsen, Frank / Jakobsen, Henrik et al. | 2009
- 764
-
Radiation effects on mechanical characteristics of MEMSWang, L. / Huang, Q.A. / Tang, J. / Luo, J. et al. | 2009
- 768
-
Fracture mechanics analysis of delamination failures in IC packagesTay, Andrew A. O. et al. | 2009
- 777
-
Failure analysis of 200 nm-thick gold interconnects induced by alternating currentZhang, G. P. / Wang, M. / Zhang, B. et al. | 2009
- 782
-
The effects of Bi on physical and microstructural characteristics of Sn-Ag-Cu lead-free soldersLu, Sheng / Luo, Fei / Chen, Jing / Li, Kaiyue et al. | 2009
- 785
-
Water-soluble fluxes for Sn-4Zn-0.89Cu-3.5Bi-0.3Re alloy lead-free solderMin Yang, / Tao Zhang, / Xiuzhong Liu, / Songming He, et al. | 2009
- 790
-
Acting capability of flux for Pb-free interconnection in electronics assemblyDu, Changhua / Zhao, Jing / Du, Yunfei / Chen, Fang / Zhao, Haijian et al. | 2009
- 794
-
In-suit observations of mechanical stress in Al interconnect line under thermal/electrical conditionsLi, Zhiguo / Wu, Yuehua / Fu, Houkui / Guo, Chunsheng / Ji, Yuan / Liu, Zhimin et al. | 2009
- 799
-
A novel self-align double gate MOSFET with source/drain tiePo-Hsieh Lin, / Jyi-Tsong Lin, / Yi-Chuen Eng, / Hsuan-Hsu Chen, / Chih-Hao Kuo, / Chih-Hung Sun, / Hsien-Nan Chiu, / Tzu-Feng Chang, / Nai-Chuan Chuang, et al. | 2009
- 803
-
Reliability behavior of TaAlOx Metal-Insulator-Metal capacitorsHota, M.K. / Mahata, C. / Bera, M.K. / Mallik, S. / Majhi, B. / Das, T. / Sarkar, C.K. / Maiti, C. K. et al. | 2009
- 807
-
Anomalous “sweeping stress” induced degradation in n-type low temperature poly-Si thin film transistorsZhou, Dapeng / Wang, Mingxiang / Zhang, Meng / Hao, Han / Zhang, Dongli / Wong, Man et al. | 2009
- 811
-
Paramagnetic defects and charge trapping in TaYOx gate dielectrics on strained-SiMajhi, B. / Mahata, C. / Bera, M. K. / Hota, M.K. / Mallik, S. / Das, T. / Maiti, C. K. et al. | 2009
- 815
-
Failure analysis of odd/even word-line failure to improve the endurance performance of a NAND FlashYoung Sun, / Zhang, Mark / Yu, Jossen / Dong, Walden / Chien, Wei-Ting Kary et al. | 2009
- 818
-
Scaling in floating-gate non-volatile memory technologies and its implication on reliabilityTao, Guoqiao et al. | 2009
- 824
-
Failure analysis in the integrated fabless manufacturer (IFM) environmentStreet, A.G. et al. | 2009
- 827
-
MEMS failure analysis case studies using the IR-OBIRCH method - Short circuit localization in a MEMS pressure sensorLellouchi, D. / Lafontan, X. / Dhennin, J. / Beaudoin, F. / Pressecq, F. et al. | 2009
- 832
-
Effects of process variation on turn-on voltages of a multi-finger gate-coupled NMOS ESD protection deviceHuo, M.X. / Ding, K.B. / Han, Y. / Dong, S.R. / Du, X.Y. / Huang, D.H. / Song, B. et al. | 2009
- 837
-
Author index| 2009
- i
-
Cover page| 2009
- ix
-
Content| 2009
- v
-
Committee| 2009