Compact Modeling of Flicker Noise Variability in Small Size MOSFETs (Englisch)
- Neue Suche nach: Morshed, Tanvir H.
- Neue Suche nach: Dunga, Mohan V.
- Neue Suche nach: Zhang, Jodie
- Neue Suche nach: Lu, Darsen D.
- Neue Suche nach: Niknejad, Ali M.
- Neue Suche nach: Hu, Chenming
- Neue Suche nach: Morshed, Tanvir H.
- Neue Suche nach: Dunga, Mohan V.
- Neue Suche nach: Zhang, Jodie
- Neue Suche nach: Lu, Darsen D.
- Neue Suche nach: Niknejad, Ali M.
- Neue Suche nach: Hu, Chenming
In:
IEDM, IEEE International Electron Devices Meeting, 2009
;
1-4
;
2009
-
ISBN:
- Aufsatz (Konferenz) / Print
-
Titel:Compact Modeling of Flicker Noise Variability in Small Size MOSFETs
-
Beteiligte:Morshed, Tanvir H. ( Autor:in ) / Dunga, Mohan V. ( Autor:in ) / Zhang, Jodie ( Autor:in ) / Lu, Darsen D. ( Autor:in ) / Niknejad, Ali M. ( Autor:in ) / Hu, Chenming ( Autor:in )
-
Erschienen in:
-
Verlag:
- Neue Suche nach: IEEE Operations Center
-
Erscheinungsort:Piscataway
-
Erscheinungsdatum:2009
-
Format / Umfang:4 Seiten, 5 Quellen
-
ISBN:
-
DOI:
-
Medientyp:Aufsatz (Konferenz)
-
Format:Print
-
Sprache:Englisch
-
Schlagwörter:
-
Datenquelle:
Inhaltsverzeichnis Konferenzband
Die Inhaltsverzeichnisse werden automatisch erzeugt und basieren auf den im Index des TIB-Portals verfügbaren Einzelnachweisen der enthaltenen Beiträge. Die Anzeige der Inhaltsverzeichnisse kann daher unvollständig oder lückenhaft sein.
- 1
-
High performance GeO2/Ge nMOSFETs with source/drain junctions formed by gas phase dopingMorii, Kiyohito / Iwasaki, Takashi / Nakane, Ryosho / Takenaka, Mitsuru / Takagi, Shinichi et al. | 2009
- 1
-
Physical model of the impact of metal grain work function variability on emerging dual metal gate MOSFETs and its implication for SRAM reliabilityXiao Zhang, / Jing Li, / Grubbs, Melody / Deal, Michael / Magyari-Kope, Blanka / Clemens, Bruce M. / Nishi, Yoshio et al. | 2009
- 1
-
BEOL embedded RF-MEMS switch for mm-wave applicationsKaynak, M. / Ehwald, K. E. / Drews, J. / Scholz, R. / Korndorfer, F. / Knoll, D. / Tillack, B. / Barth, R. / Birkholz, M. / Schulz, K. et al. | 2009
- 1
-
New analysis methods for comprehensive understanding of Random Telegraph NoiseNagumo, T. / Takeuchi, K. / Yokogawa, S. / Imai, K. / Hayashi, Y. et al. | 2009
- 1
-
Can the reaction-diffusion model explain generation and recovery of interface states contributing to NBTI?Teo, Z. Q. / Ang, D. S. / See, K. S. et al. | 2009
- 1
-
Switching oxide traps as the missing link between negative bias temperature instability and random telegraph noiseGrasser, T. / Reisinger, H. / Goes, W. / Aichinger, Th. / Hehenberger, Ph. / Wagner, P.-J. / Nelhiebel, M. / Franco, J. / Kaczer, B. et al. | 2009
- 1
-
On the differences between ultra-fast NBTI measurements and Reaction-Diffusion theoryIslam, A.E. / Mahapatra, S. / Deora, S. / Maheta, V. D. / Alam, M.A. et al. | 2009
- 1
-
A stackable cross point Phase Change MemoryDerChang Kau, / Tang, Stephen / Karpov, Ilya V. / Dodge, Rick / Klehn, Brett / Kalb, Johannes A. / Strand, Jonathan / Diaz, Aleshandre / Leung, Nelson / Wu, Jack et al. | 2009
- 1
-
Can carbon nanotube transistors be scaled without performance degradation?Franklin, Aaron D. / Tulevski, George / Hannon, James B. / Chen, Zhihong et al. | 2009
- 1
-
A novel photovoltaic nanodevice based on the co-integration of silicon micro and nanowires prepared by electroless etching with conformal plasma dopingHan-Don Um, / Jin-Young Jung, / Xiaopeng Li, / Sang-Won Jee, / Kwang-Tae Park, / Hong-Seok Seo, / Syed Abdul Moiz, / Sang-Wook Lee, / Jong-Yeoul Ji, / Chung Tae Kim, et al. | 2009
- 1
-
First autonomous wireless sensor node powered by a vacuum-packaged piezoelectric MEMS energy harvesterElfrink, R. / Pop, V. / Hohlfeld, D. / Kamel, T. M. / Matova, S. / de Nooijer, C. / Jambunathan, M. / Goedbloed, M. / Caballero, L. / Renaud, M. et al. | 2009
- 1
-
A voltage scaling model for performance evaluation in digital CMOS circuitsvon Arnim, Klaus / Schruefer, Klaus / Baumann, Thomas / Hofmann, Karl / Schulz, Thomas / Pacha, Christian / Berthold, Joerg et al. | 2009
- 1
-
Design issues and possible solutions for low-cost and high-efficiency LSIsMasayuki Mizuno, et al. | 2009
- 1
-
Vth fluctuation suppression and high performance of HfSiON/metal gate stacks by controlling capping-Y2O3 layers for 22nm bulk devicesKamiyama, Satoshi / Etsuo Kurosawa, / Shoji Abe, / Masashi Kitajima, / Toshio Aminaka, / Takayuki Aoyama, / Kazuto Ikeda, / Yuzuru Ohji, et al. | 2009
- 1
-
Vertical transport in spin coated ultra thin polycrystalline pentacene organic stacksAltazin, Stephane / Clerc, Raphael / Gwoziecki, Romain / Boudinet, Damien / Verilhac, Jean-Marie / Coppard, Romain / Ghibaudo, Gerard / Pananakakis, Georges / Serbutoviez, Christophe et al. | 2009
- 1
-
A 1-V operated polymer vertical transistor with high on/off current ratioChao, Yu-Chiang / Wu-Wei Tsai, / Chun-Yu Chen, / Hsiao-Wen Zan, / Meng, Hsin-Fei / Shu-Ling Jiang, / Chun-Ming Chiang, / Ming-Che Ku, et al. | 2009
- 1
-
Impact of remnant stress/strain and metal contamination in 3D-LSIs with through-Si vias fabricated by wafer thinning and bondingMurugesan, M. / Bea, J.C. / Kino, H. / Ohara, Y. / Kojima, T. / Noriki, A. / Lee, K.W. / Kiyoyama, K. / Fukushima, T. / Nohira, H. et al. | 2009
- 1
-
Atomistic guiding principles for MONOS-type memories with high program/erase cycle enduranceYamaguchi, K. / Otake, A. / Kobayashi, K. / Shiraishi, K. et al. | 2009
- 1
-
High performance amorphous oxide thin film transistors with self-aligned top-gate structurePark, Jae Chul / Kim, Sang Wook / Kim, Sun Il / Huaxiang Yin, / Hur, Ji Hyun / Jeon, Sang Hun / Park, Sung Ho / Song, I Hun / Park, Young Soo / Chung, U In et al. | 2009
- 1
-
High density and ultra small cell size of Contact ReRAM (CR-RAM) in 90nm CMOS logic technology and circuitsYuan Heng Tseng, / Chia-En Huang, / Kuo, C. -H. / Chih, Y. -D. / Chrong Jung Lin, et al. | 2009
- 1
-
Award presentations plenary session award| 2009
- 1
-
Physical Understandings of Si (110) Hole Mobility in Ultra-Thin Body pFETs by less-than 110 greater-than and less-than 111 greater-than Uniaxial Compressive StrainShimizu, Ken / Saraya, Takuya / Hiramoto, Toshiro et al. | 2009
- 1
-
N-polar GaN-based highly scaled self-aligned MIS-HEMTs with state-of-the-art fT.LG product of 16.8 GHz- micrometerNidhi / Dasgupta, Sansaptak / Brown, David F. / Keller, Stacia / Speck, James S. / Mishra, Umesh K. et al. | 2009
- 1
-
Enabling 3D-IC foundry technologies for 28 nm node and beyond: through-silicon-via integration with high throughput die-to-wafer stackingChen, D.Y. / Chiou, W.C. / Chen, M.F. / Wang, T.D. / Ching, K.M. / Tu, H.J. / Wu, W.J. / Yu, C.L. / Yang, K.F. / Chang, H.B. et al. | 2009
- 1
-
Germanium for advanced CMOS anno 2009: a SWOT analysisCaymax, M. / Eneman, G. / Bellenger, F. / Merckling, C. / Delabie, A. / Wang, G. / Loo, R. / Simoen, E. / Mitard, J. / De Jaeger, B. et al. | 2009
- 1
-
Program charge effect on random telegraph noise amplitude and its device structural dependence in SONOS flash memoryChiu, J.P. / Chou, Y.L. / Ma, H.C. / Tahui Wang, / Ku, S.H. / Zou, N.K. / Chen, Vincent / Lu, W.P. / Chen, K.C. / Chih-Yuan Lu, et al. | 2009
- 1
-
CMOS compatible Ge/Si core/shell nanowire gate-all-around pMOSFET integrated with HfO2/TaN gate stackPeng, J. W. / Singh, N. / Lo, G. Q. / Kwong, D.L. / Lee, S. J. et al. | 2009
- 1
-
Excimer laser-annealed dopant segregated Schottky (ELA-DSS) Si nanowire gate-all-around (GAA) pFET with near zero effective Schottky barrier height (SBH)Chin, Y. K. / Pey, K. L. / Singh, N. / Lo, G. Q. / Tan, L. H. / Zhu, G. / Zhou, X. / Wang, X. C. / Zheng, H.Y. et al. | 2009
- 1
-
Compact AC modeling and analysis of Cu, W, and CNT based through-silicon vias (TSVs) in 3-D ICsChuan Xu, / Hong Li, / Suaya, Roberto / Banerjee, Kaustav et al. | 2009
- 1
-
Physical understandings of si (110) hole mobility in ultra-thin body pFETs by ≪110≫ and ≪111≫ uniaxial compressive strainShimizu, Ken / Takuya Saraya, / Toshiro Hiramoto, et al. | 2009
- 1
-
Correlation between low-field mobility and high-field carrier velocity in quasi-ballistic-transport MISFETS scaled down to Lg=30 nmTatsumura, Kosuke / Goto, Masakazu / Shigeru Kawanaka, / Atsuhiro Kinoshita, et al. | 2009
- 1
-
Dual threshold voltage integrated organic technology for ultralow-power circuitsNausieda, I. / Ryu, K. / He, D. / Akinwande, A. I. / Bulovic, V. / Sodini, C. G. et al. | 2009
- 1
-
High Performance Deep-Submicron Inversion-Mode InGaAs MOSFETs with maximum Gm exceeding 1.1 mS/µm: New HBr pretreatment and channel engineeringWu, Y. Q. / Xu, M. / Wang, R.S. / Koybasi, O. / Ye, P. D. et al. | 2009
- 1
-
Novel DRAM cell with amplified capacitor for embedded applicationCho, Hyun-Jin / Ming-Ren Lin, et al. | 2009
- 1
-
Perspectives of graphene nanoelectronics: probing technological options with modelingIannaccone, G. / Fiori, G. / Macucci, M. / Michetti, P. / Cheli, M. / Betti, A. / Marconcini, P. et al. | 2009
- 1
-
Read/write operation of spin-based MOSFET using highly spin-polarized ferromagnet/MgO tunnel barrier for reconfigurable logic devicesMarukame, Takao / Inokuchi, Tomoaki / Ishikawa, Mizue / Sugiyama, Hideyuki / Saito, Yoshiaki et al. | 2009
- 1
-
High performance low voltage amorphous oxide TFT Enhancement/Depletion inverter through uni-/bi-layer channel hybrid integrationYin, Huaxiang / Kim, Sunil / Jaechul Park, / Ihun Song, / Kim, Sang-Wook / Jihyun Hur, / Sungho Park, / Sanghun Jeon, / Kim, Chang Jung et al. | 2009
- 1
-
Integrated high performance (100) and (110) oriented single-grain Si TFTs without seed substrateChen, Tao / Ishihara, Ryoichi / van der Cingel, Johan / Alessandro, Baiano / Mofrad, M. R. Tajari / Schellevis, Hugo / Beenakker, Kees et al. | 2009
- 1
-
Integration of single crystal Si TFTs and circuits on a large glass substrateTakafuji, Y. / Fukushima, Y. / Tomiyasu, K. / Takei, M. / Ogawa, Y. / Tada, K. / Matsumoto, S. / Kobayashi, H. / Watanabe, Y. / Kobayashi, E. et al. | 2009
- 1
-
A normally-off GaN FET with high threshold voltage uniformity using a novel piezo neutralization techniqueOta, K. / Endo, K. / Okamoto, Y. / Ando, Y. / Miyamoto, H. / Shimawaki, H. et al. | 2009
- 1
-
NexFET a new power deviceShuming Xu, / Korec, Jacek / Jauregui, David / Kocon, Christopher / Molly, Simon / Haian Lin, / Daum, Gary / Perelli, Steve / Barry, Keith / Pearce, Charles et al. | 2009
- 1
-
Power MOSFETs, IGBTs, and thyristors in SiC: Optimization, experimental results, and theoretical performanceCooper, J. A. / Tamaki, T. / Walden, G. G. / Sui, Y. / Wang, S. R. / Wang, X. et al. | 2009
- 1
-
1D thickness scaling study of phase change material (Ge2Sb2Te5) using a pseudo 3-terminal deviceBae, Byoung-Jae / Kim, SangBum / Yuan Zhang, / Youngkuk Kim, / In-Gyu Baek, / Soonoh Park, / In-Seok Yeo, / Siyoung Choi, / Moon, Joo-Tae / Wong, H.-S. Philip et al. | 2009
- 1
-
Quantum simulations of hole transport in Si, Ge, SiGe and GaAs double-gate pMOSFETs: orientation and strain effectsCavassilas, Nicolas / d'Ambrosio, Sophie / Bescond, Marc et al. | 2009
- 1
-
3D 65nm CMOS with 320°C microwave dopant activationLee, Yao-Jen / Yu-Lun Lu, / Fu-Kuo Hsueh, / Kuo-Chin Huang, / Chia-Chen Wan, / Tz-Yen Cheng, / Ming-Hung Han, / Kowalski, Jeff M. / Kowalski, Jeff E. / Heh, Dawei et al. | 2009
- 1
-
Welcome from the general chairSubramanian, Vivek et al. | 2009
- 1
-
Fermi level pinning in Si, Ge and GaAs systems - MIGS or defects?Robertson, J / Lin, L et al. | 2009
- 1
-
3-terminal nanoelectromechanical switching device in insulating liquid media for low voltage operation and reliability improvementLee, Jeong-Oen / Kim, Min-Wu / Ko, Seung-Deok / Kang, Hee-Oh / Bae, Woo-Ho / Kang, Min-Ho / Kim, Ki-Nam / Yoo, Dong-Eun / Yoon, Jun-Bo et al. | 2009
- 1
-
Reliability improvement in planar MONOS cell for 20nm-node multi-level NAND Flash memory and beyondSakamoto, Wataru / Yaegashi, Toshitake / Okamura, Takayuki / Toba, Takayuki / Komiya, Ken / Sakuma, Kiwamu / Matsunaga, Yasuhiko / Ishibashi, Yutaka / Nagashima, Hidenobu / Sugi, Motoki et al. | 2009
- 1
-
A novel planar floating-gate (FG) / charge-trapping (CT) NAND device using BE-SONOS inter-poly dielectric (IPD)Lue, Hang-Ting / Pei-Ying Du, / Tzu-Hsuan Hsu, / Yi-Hsuan Hsiao, / Sheng-Chih Lai, / Szu-Yu Wang, / Shih-Ping Hong, / Ming-Tsung Wu, / Hsu, F. H. / Lien, N. Z. et al. | 2009
- 1
-
Highly tunable band-stop filters based on AlN RF MEM capacitive switches with inductive arms and zipping capacitive couplingFernandez-Bolanos, Montserrat / Lisec, Thomas / Dehollain, Catherine / Tsamados, Dimitrios / Nicole, Pierre / Ionescu, Adrian M. et al. | 2009
- 1
-
A 32nm SoC platform technology with 2nd generation high-k/metal gate transistors optimized for ultra low power, high performance, and high density product applicationsJan, C.-H. / Agostinelli, M. / Buehler, M. / Chen, Z.-P. / Choi, S.-J. / Curello, G. / Deshpande, H. / Gannavaram, S. / Hafez, W. / Jalan, U. et al. | 2009
- 1
-
Nanowire based electronics: Challenges and prospectsLu, Wei et al. | 2009
- 1
-
Design and process co-optimization for 28nm/22nm and beyond - A foundry's perspectiveCliff Hou, et al. | 2009
- 1
-
Ti-capping technique as a breakthrough for achieving low threshold voltage, high mobility, and high reliability of pMOSFET with metal gate and high-k dielectrics technologiesHaruhiko Takahashi, / Hiroshi Minakata, / Yusuke Morisaki, / Shiqin Xiao, / Masaaki Nakabayashi, / Keita Nishigaya, / Tsunehisa Sakoda, / Kazuto Ikeda, / Hiroshi Morioka, / Naoyoshi Tamura, et al. | 2009
- 1
-
All inkjet printed self-aligned transistors and circuits applicationsTseng, Huai-Yuan / Subramanian, Vivek et al. | 2009
- 1
-
Negatively charged deep level defects generated by Yttrium and Lanthanum incorporation into HfO2 for Vth adjustment, and the impact on TDDB, PBTI and 1/f noiseSato, Motoyuki / Kamiyama, Satoshi / Sugita, Yoshihiro / Matsuki, Takeo / Morooka, Tetsu / Suzuki, Takayuki / Shiraishi, Kenji / Yamabe, Kikuo / Ohmori, Kenji / Yamada, Keisaku et al. | 2009
- 1
-
Self-consistent Monte Carlo device simulations under nano-scale device structures: role of Coulomb interaction, degeneracy, and boundary conditionNakanishi, Kohei / Uechi, Tadayoshi / Sano, Nobuyuki et al. | 2009
- 1
-
Strain metrology of devices by dark-field electron holography: A new technique for mapping 2D strain distributionsHytch, Martin / Hue, Florian / Houdellier, Florent / Snoeck, Etienne / Claverie, Alain et al. | 2009
- 1
-
Integrated circuits using top-gate ZnO nanowire transistors with ultrathin organic gate dielectricKalblein, D. / Bottcher, H. J. / Weitz, R. T. / Zschieschang, U. / Kern, K. / Klauk, H. et al. | 2009
- 1
-
0.9 micrometer Pitch Pixel CMOS Image Sensor Design MethodologyItonaga, Kazuichiroh / Mizuta, Kyohei / Kataoka, Toyotaka / Yanagita, Masashi / Yamauchi, Shintaro / Ikeda, Harumi / Haruta, Tsutomu / Matsumoto, Shizunori / Harasawa, Masanori / Matsuda, Takeshi et al. | 2009
- 1
-
Potential well engineering by partial oxidation of TiN for high-speed and low-voltage Flash memory with good 125°C data retention and excellent enduranceGang Zhang, / Chang Ho Ra, / Hua-Min Li, / Cheng Yang, / Won Jong Yoo, et al. | 2009
- 1
-
Reliability of barrier engineered charge trapping devices for sub-30nm NAND flashRich Liu, / Lue, Hang-Ting / Chen, K.C. / Chih-Yuan Lu, et al. | 2009
- 1
-
Compact modeling of flicker noise variability in small size MOSFETsMorshed, Tanvir H. / Dunga, Mohan V. / Zhang, Jodie / Lu, Darsen D. / Niknejad, Ali M. / Hu, Chenming et al. | 2009
- 1
-
Trigate 6T SRAM scaling to 0.06 µm2Guillorna, M. / Chang, J. / Pyzyna, A. / Engelmann, S. / Joseph, E. / Fletcher, B. / Cabral, C. / Lin, C.-H. / Bryant, A. / Darnon, M. et al. | 2009
- 1
-
16nm functional 0.039µm2 6T-SRAM cell with nano injection lithography, nanowire channel, and full TiN gateHou-Yu Chen, / Chun-Chi Chen, / Fu-Kuo Hsueh, / Jan-Tsai Liu, / Chih-Yen Shen, / Chiung-Chih Hsu, / Shyi-Long Shy, / Bih-Tiao Lin, / Hsi-Ta Chuang, / Cheng-San Wu, et al. | 2009
- 1
-
Study of sub-30nm thin film transistor (TFT) charge-trapping (CT) devices for 3D NAND flash applicationTzu-Hsuan Hsu, / Lue, Hang-Ting / Chih-Chang Hsieh, / Lai, Erh-Kun / Lu, Chi-Pin / Hong, Shih-Ping / Wu, Ming-Tsung / Hsu, F. H. / Lien, N. Z. / Hsieh, Jung-Yu et al. | 2009
- 1
-
Ultra thinning 300-mm wafer down to 7-µm for 3D wafer Integration on 45-nm node CMOS using strained silicon and Cu/Low-k interconnectsKim, Y. S. / Tsukune, A. / Maeda, N. / Kitada, H. / Kawai, A. / Arai, K. / Fujimoto, K. / Suzuki, K. / Mizushima, Y. / Nakamura, T. et al. | 2009
- 1
-
Experimental demonstration of 100nm channel length In0.53Ga0.47As-based vertical inter-band tunnel field effect transistors (TFETs) for ultra low-power logic and SRAM applicationsMookerjea, S. / Mohata, D. / Krishnan, R. / Singh, J. / Vallett, A. / Ali, A. / Mayer, T. / Narayanan, V. / Schlom, D. / Liu, A. et al. | 2009
- 1
-
Dual channel FinFETs as a single high-k/metal gate solution beyond 22nm nodeSmith, C. E. / Adhikari, H. / Lee, S-H. / Coss, B. / Parthasarathy, S. / Young, C. / Sassman, B. / Cruz, M. / Hobbs, C. / Majhi, P. et al. | 2009
- 1
-
A 25-nm gate-length FinFET transistor module for 32nm nodeChang-Yun Chang, / Tsung-Lin Lee, / Wann, Clement / Li-Shyue Lai, / Hung-Ming Chen, / Chih-Chieh Yeh, / Chih-Sheng Chang, / Chia-Cheng Ho, / Jyh-Cherng Sheu, / Tsz-Mei Kwok, et al. | 2009
- 1
-
45nm low power CMOS logic compatible embedded STT MRAM utilizing a reverse-connection 1T/1MTJ cellLin, C.J. / Kang, S.H. / Wang, Y.J. / Lee, K. / Zhu, X. / Chen, W.C. / Li, X. / Hsu, W.N. / Kao, Y.C. / Liu, M.T. et al. | 2009
- 1
-
A novel five-photo-mask low-temperature polycrystalline-silicon CMOS structureSang-Jin Lee, / Lee, Seok-Woo / Kum-Mi Oh, / Kyung-Eon Lee, / Myoung-Su Yang, / Yong-Kee Hwang, et al. | 2009
- 1
-
InAlN/GaN heterostructures for microwave power and beyondKohn, E. / Alomari, M. / Denisenko, A. / Dipalo, M. / Maier, D. / Medjdoub, F. / Pietzka, C. / Delage, S. / diForte- Poisson, M.-A. / Morvan, E. et al. | 2009
- 1
-
Extremely thin SOI (ETSOI) CMOS with record low variability for low power system-on-chip applicationsCheng, K. / Khakifirooz, A. / Kulkarni, P. / Ponoth, S. / Kuss, J. / Shahrjerdi, D. / Edge, L. F. / Kimball, A. / Kanakasabapathy, S. / Xiu, K. et al. | 2009
- 1
-
RF performance upgrading of low-power 40nm-node CMOS devices by extremely low-resistance partially-thickened local (PTL)-interconnectsHijioka, K. / Kawahara, J. / Narihiro, M. / Kume, I. / Tanabe, A. / Nagase, H. / Yamamoto, H. / Inoue, N. / Takeuchi, T. / Onodera, T. et al. | 2009
- 1
-
Anomalous stress effects in ultra-thin silicon chips on foilHassan, Mahadi-Ul / Rempp, Horst / Tu Hoang, / Richter, Harald / Wacker, Nicoleta / Burghartz, Joachim N. et al. | 2009
- 1
-
Correlation between DC and rf degradation due to deep levels in AlGaN/GaN HEMTsChini, Alessandro / Fantini, Fausto / Di Lecce, Valerio / Esposto, Michele / Stocco, Antonio / Ronchi, Nicolo / Zanon, Franco / Meneghesso, Gaudenzio / Zanoni, Enrico et al. | 2009
- 1
-
Monolithic three-dimensional integrated circuits using carbon nanotube FETs and interconnectsHai Wei, / Patil, Nishant / Lin, Albert / Wong, H.-S. Philip / Mitra, Subhasish et al. | 2009
- 1
-
Design space and scalability exploration of 1T-1STT MTJ memory arrays in the presence of variability and disturbancesRaychowdhury, Arijit / Somasekhar, Dinesh / Karnik, Tanay / De, Vivek et al. | 2009
- 1
-
Impact of interface states on MOS transistor mismatchAndricciola, P. / Tuinhout, H. P. / De Vries, B. / Wils, N. A. H. / Scholten, A. J. / Klaassen, D. B. M. et al. | 2009
- 1
-
Impact of random telegraph signals on Vmin in 45nm SRAMSeng Oon Toh, / Tsukamoto, Yasumasa / Guo, Zheng / Jones, Lauren / Liu, Tsu-Jae King / Nikolic, Borivoje et al. | 2009
- 1
-
Distributed-Poole-Frenkel modeling of anomalous resistance scaling and fluctuations in phase-change memory (PCM) devicesFugazza, D. / Ielmini, D. / Lavizzari, S. / Lacaita, A. L. et al. | 2009
- 1
-
Modeling and optimization of polymer based bulk heterojunction (BH) solar cellRay, Biswajit / Nair, Pradeep R. / Garcia, R. Edwin / Alam, Muhammad A. et al. | 2009
- 1
-
Implantable wireless dosimeters for radiation oncologyMaleki, T. / Son, C. / Ziaie, B. et al. | 2009
- 1
-
3D heterogeneous opto-electronic integration technology for system-on-silicon (SOS)Lee, K-W / Noriki, A. / Kiyoyama, K. / Kanno, S. / Kobayashi, R. / Jeong, W-C / Bea, J-C / Fukushima, T. / Tanaka, T. / Koyanagi, M. et al. | 2009
- 1
-
Performance analysis of ultra-scaled InAs HEMTsKharche, Neerav / Klimeck, Gerhard / Kim, Dae-Hyun / del Alamo, Jesus. A. / Luisier, Mathieu et al. | 2009
- 1
-
Beyond innovation: Dealing with the risks and complexity of processor design in 22nmAnderson, Carl John et al. | 2009
- 1
-
Multiscale modeling for reliability assessment in microelectronic systemsMysore, K. / Subbarayan, G. et al. | 2009
- 1
-
InGaAs MOSFET performance and reliability improvement by simultaneous reduction of oxide and interface charge in ALD (La)AlOx/ZrO2 gate stackHuang, J. / Goel, N. / Zhao, H. / Kang, C. Y. / Min, K.S. / Bersuker, G. / Oktyabrsky, S. / Gaspe, C.K. / Santos, M.B. / Majhi, P. et al. | 2009
- 1
-
Graphene for VLSI: FET and interconnect applicationsAwano, Yuji et al. | 2009
- 1
-
Silicon spintronics: Spin injection, manipulation and electrical detectionJonker, Berend T. / van 't Erve, Olaf M.J. / Kioseoglou, George / Hanbicki, Aubrey T. / Li, Connie H. / Holub, Michael / Awo-Affouda, Chaffra / Thompson, Phillip E. et al. | 2009
- 1
-
A disturbance-free read scheme and a compact stochastic-spin-dynamics-based MTJ circuit model for Gb-scale SPRAMOno, K. / Kawahara, T. / Takemura, R. / Miura, K. / Yamamoto, H. / Yamanouchi, M. / Hayakawa, J. / Ito, K. / Takahashi, H. / Ikeda, S. et al. | 2009
- 1
-
ZnO thin film transistors and circuits on glass and polyimide by low-temperature PEALDMourey, Devin A. / Zhao, Dalong A. / Jackson, Thomas N. et al. | 2009
- 1
-
Impact of dipole-induced dielectric relaxation on high-frequency performance in La-incorporated HfSiON/metal gate nMOSFETChoi, G. B. / Sagong, H. C. / Lee, K. T. / Park, M. S. / Choi, H. S. / Song, S. H. / Baek, R. H. / Park, C. H. / Lee, S. H. / Lee, J. S. et al. | 2009
- 1
-
Phase Change Memory technology for embedded non volatile memory applications for 90nm and beyondAnnunziata, R. / Zuliani, P. / Borghi, M. / De Sandre, G. / Scotti, L. / Prelini, C. / Tosi, M. / Tortorelli, I. / Pellizzer, F. et al. | 2009
- 1
-
New perspectives from micro and nanotechnologies in healthcare and diagnosisChabbal, Jean et al. | 2009
- 1
-
Ultra Low-EOT (5 Angstroem) Gate-First and Gate-Last High Performance CMOS Achieved by Gate-Electrode OptimizationRagnarsson, L.A. / Li, Z. / Tseng, J. / Schram, T. / Rohr, E. / Cho, M.J. / Kauerauf, T. / Conard, T. / Okuno, Y. / Parvais, B. et al. | 2009
- 1
-
16 nm Functional 0.039 micrometer 2 6T-SRAM Cell with Nano Injection Lithography, Nanowire Channel, and Full TiN GateChen, Hou-Yu / Chen, Chun-Chi / Hsueh, Fu-Kuo / Liu, Jan-Tsai / Shen, Chih-Yen / Hsu, Chiung-Chih / Shy, Shyi-long / Lin, Bih-Tiao / Chuang, Hsi-Ta / Wu, Cheng-San et al. | 2009
- 1
-
Effect of bottom electrode of ReRAM with Ta2O5/TiO2 stack on RTN and retentionTerai, M. / Sakotsubo, Y. / Saito, Y. / Kotsuji, S. / Hada, H. et al. | 2009
- 1
-
Resolving fast VTH transients after program/erase of flash memory stacks and their relation to electron and hole defectsToledano-Luque, M. / Degraeve, R. / Zahid, M. B. / Kaczer, B. / Kittl, J. / Jurczak, M. / Groeseneken, G. / Van Houdt, J. et al. | 2009
- 1
-
Steep channel profiles in n/pMOS controlled by boron-doped Si:C layers for continual bulk-CMOS scalingHokazono, A. / Itokawa, H. / Mizushima, I. / Kawanaka, S. / Inaba, S. / Toyoshima, Y. et al. | 2009
- 1
-
Competitive and cost effective high-k based 28nm CMOS technology for low power applicationsArnaud, F. / Thean, A. / Eller, M. / Lipinski, M. / Teh, Y.W. / Ostermayr, M. / Kang, K. / Kim, N.S. / Ohuchi, K. / Han, J-P. et al. | 2009
- 1
-
Optimization of metallization processes for 32-nm-node highly reliable ultralow-k (k=2.4)/Cu multilevel interconnects incorporating a bilayer low-k barrier cap (k=3.9)Iguchi, M. / Yokogawa, S. / Aizawa, H. / Kakuhara, Y. / Tsuchiya, H. / Okada, N. / Imai, K. / Tohara, M. / Fujii, K. / Watanabe, T. et al. | 2009
- 1
-
Multilayer graphene nanoribbon for 3D stacking of the transistor channelOuyang, Yijian / Dai, Hongjie / Guo, Jing et al. | 2009
- 1
-
Performance comparisons of tunneling field-effect transistors made of InSb, Carbon, and GaSb-InAs broken gap heterostructuresLuisier, Mathieu / Klimeck, Gerhard et al. | 2009
- 1
-
Highly scalable nonvolatile TiOx/TaSiOy solid-electrolyte crossbar switch integrated in local interconnect for low power reconfigurable logicTada, M. / Sakamoto, T. / Tsuji, Y. / Banno, N. / Saito, Y. / Yabe, Y. / Ishida, S. / Terai, M. / Kotsuji, S. / Iguchi, N. et al. | 2009
- 1
-
Thin-film transistors and circuits on plastic foilHeremans, Paul / Genoe, Jan / Steudel, Soeren / Myny, Kris / Smout, Steve / Vicca, Peter / Grillberger, Christiane / Hild, Olaf R. / Furthner, Francois / van der Putten, Bas et al. | 2009
- 1
-
Three-dimensional integration technology based on reconfigured wafer-to-wafer and multichip-to-wafer stacking using self-assembly methodFukushima, Takafumi / Iwata, Eiji / Ohara, Yuki / Noriki, Akihiro / Inamura, Kiyoshi / Lee, Kang-Wook / Jicheol Bea, / Tanaka, Tetsu / Koyanagi, Mitsumasa et al. | 2009
- 1
-
Thermally robust phosphorous nitride interface passivation for InGaAs self-aligned gate-first n-MOSFET integrated with high-k dielectricOh, H. J. / Lin, J. Q. / Suleiman, S. A. B. / Lo, G. Q. / Kwong, D. L. / Chi, D. Z. / Lee, S. J. et al. | 2009
- 1
-
Graphene nanoribbon devices and quantum heterojunction devicesKim, Philip / Han, Melinda Y. / Young, Andrea F. / Meric, Inanc / Shepard, Kenneth L. et al. | 2009
- 1
-
A novel LTPS-TFT-based charge-trapping memory device with field-enhanced nanowire structureLiao, Ta-Chuan / Sheng-Kai Chen, / Yu, Ming H. / Chun-Yu Wu, / Kang, Tsung-Kuei / Feng-Tso Chien, / Yen-Ting Liu, / Chia-Min Lin, / Cheng, Huang-Chung et al. | 2009
- 1
-
Reversible and irreversible degradation attributing to oxygen vacancy in HfSiON gate films during electrical stress applicationHasunuma, Ryu / Tamura, Chihiro / Nomura, Tsuyoshi / Kikuchi, Yuuki / Ohmori, Kenji / Sato, Motoyuki / Uedono, Akira / Chikyow, Toyohiro / Shiraishi, Kenji / Yamada, Keisaku et al. | 2009
- 1
-
Highly scalable hafnium oxide memory with improvements of resistive distribution and read disturb immunityChen, Y. S. / Lee, H. Y. / Chen, P. S. / Gu, P. Y. / Chen, C. W. / Lin, W. P. / Liu, W. H. / Hsu, Y. Y. / Sheu, S. S. / Chiang, P. C. et al. | 2009
- 1
-
GPU technology trends and future requirementsChen, John Y. et al. | 2009
- 1
-
Statistical model for MOSFET low-frequency noise under cyclo-stationary conditionsWirth, Gilson / da Silva, Roberto / Srinivasan, Purushothaman / Krick, John / Brederlow, Ralf et al. | 2009
- 1
-
First experimental demonstration of 100 nm inversion-mode InGaAs FinFET through damage-free sidewall etchingWu, Y.Q. / Wang, R.S. / Shen, T. / Gu, J.J. / Ye, P. D. et al. | 2009
- 1
-
Body-biased complementary logic implemented using AlN piezoelectric MEMS switchesSinha, Nipun / Jones, Timothy S. / Zhijun Guo, / Piazza, Gianluca et al. | 2009
- 1
-
Self-sustained low power oscillator based on vibrating body field effect transistorGrogg, Daniel / Ayoz, Suat / Adrian Mihai Ionescu, et al. | 2009
- 1
-
Statistical enhancement of combined simulations of RDD and LER variability: What can simulation of a 105 sample teach us?Reid, Dave / Millar, Campbell / Roy, Gareth / Roy, Scott / Asenov, Asen et al. | 2009
- 1
-
Hybrid FDSOI/bulk High-k/metal gate platform for low power (LP) multimedia technologyFenouillet-Beranger, C. / Perreau, P. / Pham-Nguyen, L. / Denorme, S. / Andrieu, F. / Tosti, L. / Brevard, L. / Weber, O. / Barnola, S. / Salvetat, T. et al. | 2009
- 1
-
A stacked SONOS technology, up to 4 levels and 6nm crystalline nanowires, with Gate-All-Around or independent gates (φ-Flash), suitable for full 3D integrationHubert, A. / Nowak, E. / Tachi, K. / Maffini-Alvaro, V. / Vizioz, C. / Arvet, C. / Colonna, J.-P. / Hartmann, J.-M. / Loup, V. / Baud, L. et al. | 2009
- 1
-
Highly sensitive and selective label-free detection of cardiac biomarkers in blood serum with silicon nanowire biosensorsZhang, Guo-Jun / Luo, Zhan Hong Henry / Min Joon Huang, / Tay, Guang Kai Ignatius / Lim, Eu-Jin Andy / Yu Chen, et al. | 2009
- 1
-
Engineering of strained III–V heterostructures for high hole mobilityNainani, Aneesh / Raghunathan, Shyam / Witte, Daniel / Kobayashi, Masaharu / Irisawa, Toshifumi / Krishnamohan, Tejas / Saraswat, Krishna / Bennett, Brian R. / Ancona, Mario G. / Boos, J. Brad et al. | 2009
- 1
-
Chip-level and package-level seamless interconnect technologies for advanced packagingYamamichi, Shintaro / Mori, Kentaro / Kikuchi, Katsumi / Murai, Hideya / Ohshima, Daisuke / Nakashima, Yoshiki / Soejima, Koji / Kawano, Masaya / Murakami, Tomoo et al. | 2009
- 1
-
A computational evaluation of the designs of a novel nanoelectromechanical switch based on bilayer graphene nanoribbonLam, Kai-Tak / Liang, Gengchiau et al. | 2009
- 1
-
Simulation study of switching mechanism in carbon-based resistive memory with molecular dynamics and Extended Hückel Theory-based NEGF methodGuan, Ximeng / Yu He, / Liang Zhao, / Jinyu Zhang, / Yan Wang, / He Qian, / Yu, Zhiping et al. | 2009
- 1
-
Surface nanostructure optimization for solar energy harvesting in Si thin film based solar cellsLi, Junshuai / HongYu Yu, / She Mein Wong, / Gang Zhang, / Guo-Qiang Lo, / Dim-Lee Kwong, et al. | 2009
- 1
-
Modeling of stress-retarded orientation-dependent oxidation: shape engineering of silicon nanowire channelsMa, F.-J. / Rustagi, S. C. / Zhao, H. / Samudra, G. S. / Singh, N. / Budhaaraju, K. D. / Lo, G. Q. / Kwong, D. L. et al. | 2009
- 1
-
Quantum capacitance in scaled down III–V FETsDonghyun Jin, / Kim, Daehyun / Taewoo Kim, / del Alamo, Jesus A. et al. | 2009
- 1
-
Direct observation of subband structures in (110) PMOSFETs under high magnetic field: Impact of energy split between bands and effective masses on hole mobilityTsunaki Takahashi, / Gento Yamahata, / Jun Ogi, / Tetsuo Kodera, / Shunri Oda, / Ken Uchida, et al. | 2009
- 1
-
Engineering the complete MANOS-type NVM stack for best in class retention performanceGilmer, D. C. / Goel, N. / Park, H. / Park, C. / Verma, S. / Bersuker, G. / Lysaght, P. / Tseng, H.-H. / Kirsch, P. D. / Saraswat, K. C. et al. | 2009
- 1
-
A novel damage-free high-k etch technique using neutral beam-assisted atomic layer etching (NBALE) for sub-32nm technology node low power metal gate/high-k dielectric CMOSFETsMin, K.S. / Kang, C. Y. / Park, C. / Park, C. S. / Park, B. J. / Park, J. B. / Hussain, M. M. / Lee, Jack C. / Lee, B. H. / Kirsch, P. et al. | 2009
- 1
-
A viable and comprehensive TDDB assessment methodology for investigation of SRAM Vmin failureWu, E. / Braceras, G. / Turner, D. / Swift, A. / Johnson, M. / Sune, J. / Tous, S. / Li, B. / Bolam, R. / Massey, G. et al. | 2009
- 1
-
RTS-like fluctuation in Gate Induced Drain Leakage current of Saddle-Fin type DRAM cell transistorKim, Heesang / Kim, Kyungdo / Oh, Tae-Kyung / Cha, Seon-Yong / Hong, Sung-Joo / Park, Sung-Wook / Shin, Hyungcheol et al. | 2009
- 1
-
Chalcogenide PCM: a memory technology for next decadeBez, Roberto et al. | 2009
- 1
-
Universal mobility modeling and its application to interface engineering for highly scaled MOSFETs based on first-principles calculationIshihara, Takamitsu / Matsushita, Daisuke / Kato, Koichi et al. | 2009
- 1
-
Copyright| 2009
- 1
-
Ti-capping technique as a breakthrough for achieving low threshold voltage, high mobility, and high reliability of pMOSFET with metal gate and high-A: dielectrics technologiesTakahashi, Haruhiko / Minakata, Hiroshi / Morisaki, Yusuke / Xiao, Shiqin / Nakabayashi, Masaaki / Nishigaya, Keita / Sakoda, Tsunehisa / Ikeda, Kazuto / Morioka, Hiroshi / Tamura, Naoyoshi et al. | 2009
- 1
-
Normally-off 5A/1100V GaN-on-silicon device for high voltage applicationsBoutros, K.S. / Burnham, S. / Wong, D. / Shinohara, K. / Hughes, B. / Zehnder, D. / McGuire, C. et al. | 2009
- 1
-
Understanding amorphous states of phase-change memory using Frenkel-Poole modelShih, Y.H. / Lee, M.H. / Breitwisch, M. / Cheek, R. / Wu, J.Y. / Rajendran, B. / Zhu, Y. / Lai, E.K. / Chen, C.F. / Cheng, H.Y. et al. | 2009
- 1
-
High performance n-MOSFETs with novel source/drain on selectively grown Ge on Si for monolithic integrationHyun-Yong Yu, / Kobayashi, Masaharu / Woo Shik Jung, / Okyay, Ali K. / Yoshio Nishi, / Saraswat, Krishna C. et al. | 2009
- 1
-
High performance 32nm logic technology featuring 2nd generation high-k + metal gate transistorsPackan, P. / Akbar, S. / Armstrong, M. / Bergstrom, D. / Brazier, M. / Deshpande, H. / Dev, K. / Ding, G. / Ghani, T. / Golonzka, O. et al. | 2009
- 1
-
A Novel “hybrid” high-k/metal gate process for 28nm high performance CMOSFETsLai, C. M. / Lin, C. T. / Cheng, L. W. / Hsu, C. H. / Tseng, J. T. / Chiang, T. F. / Chou, C. H. / Chen, Y. W. / Yu, C. H. / Hsu, S. H. et al. | 2009
- 1
-
New insight into Fermi-level unpinning on GaAs: Impact of different surface orientationsXu, M. / Xu, K. / Contreras, R. / Milojevic, M. / Shen, T. / Koybasi, O. / Wu, Y.Q. / Wallace, R.M. / Ye, P. D. et al. | 2009
- 1
-
Top-gated FETs/inverters with diblock copolymer self-assembled 20 nm contact holesChang, Li-Wen / Lee, T. L. / Wann, Clement H. / Chang, C. Y. / Wong, H.-S. Philip et al. | 2009
- 1
-
Physical insights on graphene nanoribbon mobility through atomistic simulationsBetti, A. / Fiori, G. / Iannaccone, G. / Mao, Y. et al. | 2009
- 1
-
Technologies to further reduce soft error susceptibility in SOIOldiges, P. / Dennard, R. / Heidel, D. / Ning, T. / Rodbell, K. / Tang, H. / Gordon, M. / Wissel, L. et al. | 2009
- 1
-
Impact of transistor reliability on RF oscillator phase noise degradationReddy, V. / Barton, N. / Martin, S. / Hung, C. M. / Krishnan, A. / Chancellor, C. / Sundar, S. / Tsao, A. / Corum, D. / Yanduru, N. et al. | 2009
- 1
-
Advances in 3D CMOS sequential integrationBatude, P. / Vinet, M. / Pouydebasque, A. / Le Royer, C. / Previtali, B. / Tabone, C. / Hartmann, J.-M. / Sanchez, L. / Baud, L. / Carron, V. et al. | 2009
- 1
-
Enabling the high-performance InGaAs/Ge CMOS: a common gate stack solutionLin, D. / Brammertz, G. / Sioncke, S. / Fleischmann, C. / Delabie, A. / Martens, K. / Bender, H. / Conard, T. / Tseng, W. H. / Lin, J. C. et al. | 2009
- 1
-
Advanced high-K gate dielectric for high-performance short-channel In0.7Ga0.3As quantum well field effect transistors on silicon substrate for low power logic applicationsRadosavljevic, M. / Chu-Kung, B. / Corcoran, S. / Dewey, G. / Hudait, M. K. / Fastenau, J. M. / Kavalieros, J. / Liu, W. K. / Lubyshev, D. / Metz, M. et al. | 2009
- 1
-
Relationship between mobility and high-k interface properties in advanced Si and SiGe nanowiresTachi, K. / Casse, M. / Jang, D. / Dupre, C. / Hubert, A. / Vulliet, N. / Maffini-Alvaro, V. / Vizioz, C. / Carabasse, C. / Delaye, V. et al. | 2009
- 1
-
High performance and highly uniform gate-all-around silicon nanowire MOSFETs with wire size dependent scalingBangsaruntip, S. / Cohen, G. M. / Majumdar, A. / Zhang, Y. / Engelmann, S. U. / Fuller, N. C. M. / Gignac, L. M. / Mittal, S. / Newbury, J. S. / Guillorn, M. et al. | 2009
- 1
-
A 0.5V operation, 32% lower active power, 42% lower leakage current, ferroelectric 6T-SRAM with VTH self-adjusting function for 60% larger St atic Noise MarginTanakamaru, Shuhei / Hatanaka, Teruyoshi / Yajima, Ryoji / Takahashi, Mitsue / Sakai, Shigeki / Takeuchi, Ken et al. | 2009
- 1
-
Scalability of TiN/HfAlO/TiN MIM DRAM capacitor to 0.7-nm-EOT and beyondMise, N. / Tonomura, O. / Sekiguchi, T. / Horii, S. / Itatani, H. / Ogawa, A. / Saito, T. / Sakai, M. / Takebayashi, Y. / Yamazaki, H. et al. | 2009
- 1
-
Scaling deep trench based eDRAM on SOI to 32nm and BeyondWang, G. / Anand, D. / Butt, N. / Cestero, A. / Chudzik, M. / Ervin, J. / Fang, S. / Freeman, G. / Ho, H. / Khan, B. et al. | 2009
- 1
-
Development of graphene FETs for high frequency electronicsLin, Yu-Ming / Jenkins, Keith / Farmer, Damon / Valdes-Garcia, Alberto / Avouris, Phaedon / Sung, Chun-Yung / Chiu, Hsin-Ying / Ek, Bruce et al. | 2009
- 1
-
A discharge-based multi-pulse technique (DMP) for probing electron trap energy distribution in high-k materials for Flash memory applicationZheng, X.F. / Zhang, W.D. / Govoreanu, B. / Zhang, J.F. / van Houdt, J. et al. | 2009
- 1
-
A 45nm generation Phase Change Memory technologyServalli, G. et al. | 2009
- 1
-
Experimental investigation and design optimization guidelines of characteristic variability in silicon nanowire CMOS technologyJing Zhuge, / Wang, Runsheng / Ru Huang, / Jibin Zou, / Xin Huang, / Kim, D.-W. / Park, Donggun / Xing Zhang, / Yangyuan Wang, et al. | 2009
- 1
-
Silicide yield improvement with NiPtSi formation by laser anneal for advanced low power platform CMOS technologyOrtolland, C. / Rosseel, E. / Horiguchi, N. / Kerner, C. / Mertens, S. / Kittl, J. / Verleysen, E. / Bender, H. / Vandervost, W. / Lauwers, A. et al. | 2009
- 1
-
A study on millisecond annealing (MSA) induced layout dependence for flash lamp annealing (FLA) and laser spike annealing (LSA) in multiple MSA scheme with 45 nm high-performance technologyMiyashita, T. / Kubo, T. / Kim, Y. S. / Nishikawa, M. / Tamura, Y. / Mitani, J. / Okuno, M. / Tanaka, T. / Suzuki, H. / Sakata, T. et al. | 2009
- 1
-
Low leakage high breakdown e-mode GaN DHFET on Si by selective removal of in-situ grown Si3N4Derluyn, J. / Van Hove, M. / Visalli, D. / Lorenz, A. / Marcon, D. / Srivastava, P. / Geens, K. / Sijmus, B. / Viaene, J. / Kang, X. et al. | 2009
- 1
-
Design and reliability of a micro-relay technology for zero-standby-power digital logic applicationsKam, Hei / Pott, Vincent / Nathanael, Rhesa / Jaeseok Jeon, / Elad Alon, / Tsu-Jae King Liu, et al. | 2009
- 1
-
Low-loss MEMS band-pass filters with improved out-of-band rejection by exploiting inductive parasiticsShim, Yonghyun / Tabrizian, Roozbeh / Ayazi, Farrokh / Rais-Zadeh, Mina et al. | 2009
- 1
-
A new and simple experimental approach to characterizing the carrier transport and reliability of strained CMOS devices in the quasi-ballistic regimeHsieh, E. R. / Chung, Steve S. / Liu, P. W. / Chiang, W. T. / Tsai, C. H. / Teng, W. Y. / Li, C. I. / Kuo, T. F. / Wang, Y. R. / Yang, C. L. et al. | 2009
- 1
-
Reduction of random telegraph noise in High-к / metal-gate stacks for 22 nm generation FETsTega, N. / Miki, H. / Ren, Z. / D'Emic, C. P. / Zhu, Y. / Frank, D. J. / Cai, J. / Guillorn, M. A. / Park, D.-G. / Haensch, W. et al. | 2009
- 1
-
New degradation mechanisms and reliability performance in tunneling field effect transistorsJiao, G. F. / Chen, Z. X. / Yu, H. Y. / Huang, X. Y. / Huang, D. M. / Singh, N. / Lo, G. Q. / Kwong, D.-L. / Ming-Fu Li, et al. | 2009
- 1
-
Compact model for layout dependent variabilityAikawa, H. / Sanuki, T. / Sakata, A. / Morifuji, E. / Yoshimura, H. / Asami, T. / Otani, H. / Oyamatsu, H. et al. | 2009
- 1
-
Extraction of virtual-source injection velocity in sub-100 nm III–V HFETsKim, D.-H. / del Alamo, J. A. / Antoniadis, D. A. / Brar, B. et al. | 2009
- 1
-
Feasibility study of 70nm pitch Cu/porous low-k D/D integration featuring EUV lithography toward 22nm generationNakamura, N. / Oda, N. / Soda, E. / Hosoi, N. / Gawase, A / Aoyama, H. / Tanaka, Y. / Kawamura, D. / Chikaki, S. / Shiohara, M. et al. | 2009
- 1
-
A curvable silicon retinal implantDinyari, Rostam / Loudin, Jim D. / Huie, Phil / Palanker, Daniel / Peumans, Peter et al. | 2009
- 1
-
Atomistic process modeling based on Kinetic Monte Carlo and Molecular Dynamics for optimization of advanced devicesPelaz, L. / Marques, L. / Aboy, M. / Lopez, P. / Santos, I. / Duffy, R. et al. | 2009
- 1
-
N-polar GaN-based highly scaled self-aligned MIS-HEMTs with state-of-the-art fT.LG product of 16.8 GHz-µmNidhi, / Dasgupta, Sansaptak / Brown, David F. / Keller, Stacia / Speck, James S. / Mishra, Umesh K. et al. | 2009
- 1
-
Benchmarking the device performance at sub 22 nm node technologies using an SoC frameworkShrivastava, Mayank / Verma, Bhaskar / Baghini, M. Shojaei / Russ, Christian / Sharma, Dinesh Kumar / Gossner, Harald / Rao, V. Ramgopal et al. | 2009
- 1
-
Logic performance evaluation and transport physics of Schottky-gate III–V compound semiconductor quantum well field effect transistors for power supply voltages (VCC) ranging from 0.5v to 1.0vDewey, G. / Kotlyar, R. / Pillarisetty, R. / Radosavljevic, M. / Rakshit, T. / Then, H. / Chau, R. et al. | 2009
- 1
-
Experimental demonstration of high mobility Ge NMOSKuzum, Duygu / Krishnamohan, Tejas / Nainani, Aneesh / Sun, Yun / Pianetta, Piero A. / Wong, H. S-. P. / Saraswat, Krishna C. et al. | 2009
- 1
-
Analog and RF design issues in high-k & multi-gate CMOS technologiesFulde, M. / Schmitt-Landsiedel, D. / Knoblinger, G. et al. | 2009
- 1
-
GaN monolithic inverter IC using normally-off gate injection transistors with planar isolation on Si substrateUemoto, Yasuhiro / Morita, Tatsuo / Ikoshi, Ayanori / Umeda, Hidekazu / Matsuo, Hisayoshi / Shimizu, Jun / Hikita, Masahiro / Yanagihara, Manabu / Ueda, Tetsuzo / Tanaka, Tsuyoshi et al. | 2009
- 1
-
New insight on the charge trapping mechanisms of SiN-based memory by atomistic simulations and electrical modelingVianello, E. / Perniola, L. / Blaise, P. / Molas, G. / Colonna, J. P. / Driussi, F. / Palestri, P. / Esseni, D. / Selmi, L. / Rochat, N. et al. | 2009
- 1
-
Carrier profile designing to suppress systematic Vth variation related with device layout by controlling STI-enhanced dopant diffusions correlated with point defectsFukutome, H. / Momiyama, Y. / Satoh, A. / Tamura, Y. / Minakata, H. / Okabe, K. / Mutoh, E. / Suzuki, K. / Usujima, A. / Arimoto, H. et al. | 2009
- 1
-
Insight into the S/D engineering by high-resolution imaging and precise probing of 2D-carrier profiles with scanning spreading resistance microscopyZhang, Li / Saitoh, Masumi / Kinoshita, Atsuhiro / Yasutake, Nobuaki / Hokazono, Akira / Aoki, Nobutoshi / Kusunoki, Naoki / Mizushima, Ichiro / Koike, Mitsuo / Takeno, Shiro et al. | 2009
- 1
-
Printed organic transistors: Toward ambient electronicsSomeya, Takao / Sekitani, Tsuyoshi / Takamiya, Makoto / Sakurai, Takayasu / Zschieschang, Ute / Klauk, Hagen et al. | 2009
- 1
-
A comprehensive study of Ge1−xSix on Ge for the Ge nMOSFETs with tensile stress, shallow junctions and reduced leakageGuang-Li Luo, / Shih-Chiang Huang, / Cheng-Ting Chung, / Dawei Heh, / Chao-Hsin Chien, / Chao-Ching Cheng, / Yao-Jen Lee, / Wen-Fa Wu, / Chiung-Chih Hsu, / Mei-Ling Kuo, et al. | 2009
- 1
-
A non-iterative compact model for carbon nanotube FETs incorporating source exhaustion effectsWei, Lan / Frank, David J. / Chang, Leland / Wong, H.-S. Philip et al. | 2009
- 1
-
Monolithic integration of InSb Hall-effect devices with Si LSI on Si substrateKunimi, Y. / Sakurai, A. / Akiyama, S. / Fujita, H. / Shibata, Y. / Nagakura, K. / Noma, Y. / Yamamoto, T. / Yamaha, Y. et al. | 2009
- 1
-
Investigation of ballistic current in scaled Floating-gate NAND FLASH and a solutionRaghunathan, Shyam / Krishnamohan, Tejas / Parat, Krishna / Saraswat, Krishna et al. | 2009
- 1
-
Temperature compensation of silicon micromechanical resonators via degenerate dopingSamarao, Ashwin K. / Ayazi, Farrokh et al. | 2009
- 1
-
Monolithic integration of NEMS-CMOS with a Fin Flip-flop Actuated Channel Transistor (FinFACT)Jin-Woo Han, / Jae-Hyuk Ahn, / Min-Wu Kim, / Jun-Bo Yoon, / Yang-Kyu Choi, et al. | 2009
- 1
-
A novel model for (percolating) nanonet chemical sensors for microarray-based E-nose applicationsGo, J. / Sysoev, V. V. / Kolmakov, A. / Pimparkar, N. / Alam, M.A. et al. | 2009
- 1
-
Microfabricated radioisotope-powered active RFID transponderTin, S. / Lal, A. et al. | 2009
- 1
-
Nonlinear dynamics approach in modeling of the on-state-spreading - related voltage and current transients in 90nm CMOS silicon controlled rectifiersPogany, D. / Johnsson, D. / Bychikhin, S. / Esmark, K. / Rodin, P. / Gornik, E. / Stecher, M. / Gossner, H. et al. | 2009
- 1
-
30 nm In0.7Ga0.3As Inverted-Type HEMTs with reduced gate leakage current for logic applicationsTae-Woo Kim, / Kim, Dae-Hyun / del Alamo, Jesus A. et al. | 2009
- 1
-
Filament study of STI type drain extended NMOS device using transient interferometric mappingShrivastava, Mayank / Bychikhin, S. / Pogany, D. / Schneider, Jens / Baghini, M. Shojaei / Gossner, Harald / Gornik, Erich / Rao, V. Ramgopal et al. | 2009
- 1
-
Experimental assessment of self-heating in SOI FinFETsScholten, A.J. / Smit, G.D.J. / Pijper, R.M.T. / Tiemeijer, L.F. / Tuinhout, H.P. / van der Steen, J.-L.P.J. / Mercha, A. / Braccioli, M. / Klaassen, D.B.M. et al. | 2009
- 1
-
Demonstration of scaled 0.099µm2 FinFET 6T-SRAM cell using full-field EUV lithography for (Sub-)22nm node single-patterning technologyVeloso, A. / Demuynck, S. / Ercken, M. / Goethals, A. M. / Locorotondo, S. / Lazzarino, F. / Altamirano, E. / Huffman, C. / De Keersgieter, A. / Brus, S. et al. | 2009
- 1
-
Challenges and solutions of FinFET integration in an SRAM cell and a logic circuit for 22 nm node and beyondKawasaki, H. / Basker, V. S. / Yamashita, T. / Lin, C.-H. / Zhu, Y. / Faltermeier, J. / Schmitz, S. / Cummings, J. / Kanakasabapathy, S. / Adhikari, H. et al. | 2009
- 1
-
NEMS applications of grapheneChangyao Chen, / Rosenblatt, Sami / Bolotin, Kirill I. / Kim, Philip / Kymissis, Ioannis / Stormer, Horst L. / Heinz, Tony F. / Hone, James et al. | 2009
- 1
-
Spin transport in single- and multi-layer grapheneShiraishi, Masashi et al. | 2009
- 1
-
4-terminal relay technology for complementary logicNathanael, Rhesa / Pott, Vincent / Kam, Hei / Jeon, Jaeseok / Liu, Tsu-Jae King et al. | 2009
- 1
-
Experimental and physics-based modeling assessment of strain induced mobility enhancement in FinFETsSerra, N. / Conzatti, F. / Esseni, D. / De Michielis, M. / Palestri, P. / Selmi, L. / Thomas, S. / Whall, T.E. / Parker, E.H.C. / Leadley, D.R. et al. | 2009
- 1
-
IEDM executive committeeSubramanian, Vivek et al. | 2009
- 1
-
Understanding STI edge fringing field effect on the scaling of charge-trapping (CT) NAND Flash and modeling of incremental step pulse programming (ISPP)Lue, Hang-Ting / Tzu-Hsuan Hsu, / Yi-Hsuan Hsiao, / Sheng-Chih Lai, / Erh-Kun Lai, / Shih-Ping Hong, / Ming-Tsung Wu, / Hsu, F. H. / Lien, N. Z. / Chi-Pin Lu, et al. | 2009
- 1
-
The new program/erase cycling degradation mechanism of NAND flash memory devicesFayrushin, Albert / KwangSoo Seol, / JongHoon Na, / SungHoi Hur, / JungDal Choi, / Kinam Kim, et al. | 2009
- 1
-
Temperature compensated solidly mounted bulk acoustic wave resonators with optimum piezoelectric coupling coefficientAllah, Mohamed Abd / Kaitila, Jyrki / Thalhammer, Robert / Weber, Werner / Schmitt-Landsiedel, Doris et al. | 2009
- 1
-
Comprehensive study of GeO2 oxidation, GeO desorption and GeO2-metal interaction -understanding of Ge processing kinetics for perfect interface control-Kita, K. / Wang, S. K. / Yoshida, M. / Lee, C. H. / Nagashio, K. / Nishimura, T. / Toriumi, A. et al. | 2009
- 1
-
First CMOS integration of ultra thin body and BOX (UTB2) structures on bulk direct silicon bonded (DSB) wafer with multi-surface orientationsBidal, G. / Boeuf, F. / Denorme, S. / Laviron, C. / Bourdelle, K. / Loubet, N. / Campidelli, Y. / Beneyton, R. / Moriceau, H. / Fournel, F. et al. | 2009
- 1
-
Future directions of non-volatile memory in compute applicationsFazio, Albert et al. | 2009
- 1
-
One-transistor nonvolatile SRAM (ONSRAM) on silicon nanowire SONOSRyu, Seong-Wan / Han, Jin-Woo / Dong-Il Moon, / Yang-Kyu Choi, et al. | 2009
- 1
-
Optimal device structure for Pipe-shaped BiCS Flash memory for ultra high density storage device with excellent performance and reliabilityIshiduki, Megumi / Fukuzumi, Yoshiaki / Katsumata, Ryota / Kito, Masaru / Kido, Masaru / Tanaka, Hiroyasu / Komori, Yosuke / Nagata, Yuzo / Fujiwara, Tomoko / Maeda, Takashi et al. | 2009
- 1
-
1D broken-gap tunnel transistor with MOSFET-like on-currents and sub-60mV/dec subthreshold swingKoswatta, Siyuranga O. / Koester, Steven J. / Haensch, Wilfried et al. | 2009
- 1
-
High-speed graphene interconnects monolithically integrated with CMOS ring oscillators operating at 1.3GHzXiangyu Chen, / Kyeong-Jae Lee, / Akinwande, Deji / Close, Gael F. / Yasuda, Shinichi / Paul, Bipul / Fujita, Shinobu / Jing Kong, / Wong, H.-S. Philip et al. | 2009
- 1
-
VMR: VLSI-compatible metallic carbon nanotube removal for imperfection-immune cascaded multi-stage digital logic circuits using Carbon Nanotube FETsPatil, Nishant / Lin, Albert / Zhang, Jie / Hai Wei, / Anderson, Kyle / Wong, H. -S. Philip / Mitra, Subhasish et al. | 2009
- 1
-
Silicon photonics technologies for monolithic electronic-photonic integrated circuit (EPIC) applications: Current progress and future outlookAng, K.-W. / Liow, T.-Y. / Fang, Q. / Yu, M. B. / Ren, F. F. / Zhu, S. Y. / Zhang, J. / Ng, J. W. / Song, J. F. / Xiong, Y. Z. et al. | 2009
- 1
-
Metal/graphene contact as a performance Killer of ultra-high mobility graphene analysis of intrinsic mobility and contact resistanceNagashio, K. / Nishimura, T. / Kita, K. / Toriumi, A. et al. | 2009
- 1
-
0.9µm pitch pixel CMOS image sensor design methodologyItonaga, Kazuichiroh / Mizuta, Kyohei / Kataoka, Toyotaka / Yanagita, Masashi / Yamauchi, Shintaro / Ikeda, Harumi / Haruta, Tsutomu / Matsumoto, Shizunori / Harasawa, Masanori / Matsuda, Takeshi et al. | 2009
- 1
-
Co-optimizing process development, layout and circuit design for cost-effective 22nm technology platformMichaels, Kimon et al. | 2009
- 1
-
Successful suppression of dielectric relaxation inherent to high-k NAND from both architecture and material points of viewJun Fujiki, / Naoki Yasuda, / Ryota Fujitsuka, / Wataru Sakamoto, / Kouichi Muraoka, et al. | 2009
- 1
-
Impact of strain engineering and channel orientation on the ESD performance of nanometer scale CMOS devicesLu, Jing / Duvvury, Charvaka / Gossner, Harald / Banerjee, Kaustav et al. | 2009
- 1
-
Observation of switching behaviors in post-breakdown conduction in NiSi-gated stacksLiu, W. H. / Pey, K. L. / Li, X. / Bosman, M. et al. | 2009
- 1
-
Effect of oxygen migration and interface engineering on resistance switching behavior of reactive metal/polycrystalline Pr0.7Ca0.3MnO3 device for nonvolatile memory applicationsDong-jun Seong, / Park, Jubong / Nodo Lee, / Musarrat Hasan, / Seungjae Jung, / Hyejung Choi, / Joonmyoung Lee, / Minseok Jo, / Wootae Lee, / Park, Sangsu et al. | 2009
- 1
-
Dual metallic source and drain integration on planar Single and Double Gate SOI CMOS down to 20nm: Performance and scalability assessmentHutin, L. / Vinet, M. / Poiroux, T. / Le Royer, C. / Previtali, B. / Vizioz, C. / Lafond, D. / Morand, Y. / Rivoire, M. / Nemouchi, F. et al. | 2009
- 1
-
High-performance InSb based quantum well field effect transistors for low-power dissipation applicationsAshley, T. / Emeny, M. T. / Hayes, D. G. / Hilton, K. P. / Jefferies, R. / Maclean, J. O. / Smith, S. J. / Tang, A. W-H. / Wallis, D. J. / Webber, P. J. et al. | 2009
- 1
-
Characterization of oxide traps leading to RTN in high-k and metal gate MOSFETsSanghoon Lee, / Heung-Jae Cho, / Younghwan Son, / Lee, Dong Seup / Shin, Hyungcheol et al. | 2009
- 1
-
Ultra low-EOT (5 Å) gate-first and gate-last high performance CMOS achieved by gate-electrode optimizationRagnarsson, L.-A. / Li, Z. / Tseng, J. / Schram, T. / Rohr, E. / Cho, M. J. / Kauerauf, T. / Conard, T. / Okuno, Y. / Parvais, B. et al. | 2009
- 1
-
Novel T-channel nanowire FET with built-in signal amplification for pH sensingKyeong-Sik Shin, / Kyunghoon Lee, / Ji Yoon Kang, / Chi On Chui, et al. | 2009
- 1
-
A novel flash-ion-sensitive field-effect transistor (FISFET) with HfO2/Gd2O3(Gd) nano-crystal/SiO2 sensing membranes under super nernstian phenomenon for pH and urea detectionTseng-Fu Lua, / Jer-Chyi Wanga, / Chao-Sung Laia, / Chia-Ming Yanga, / Min-Hsien Wu, / Chuan-Pu Liu, / Rong-Shie Huang, / Yu-Ching Fang, et al. | 2009
- 1
-
Smart scalable systems: A bottom-up approach of building complex systemsKwon, Sunghoon et al. | 2009
- 1
-
Understanding of strain effects on high-field carrier velocity in (100) and (110) CMOSFETs under quasi-ballistic transportSaitoh, Masumi / Nobuaki Yasutake, / Yukio Nakabayashi, / Uchida, Ken / Toshinori Numata, et al. | 2009
- 1
-
Record-high electron mobility in Ge n-MOSFETs exceeding Si universalityLee, C. H. / Nishimura, T. / Saido, N. / Nagashio, K. / Kita, K. / Toriumi, A. et al. | 2009
- 1
-
Design challenges for 22nm CMOS and beyondBorkar, Shekhar et al. | 2009
- 1
-
Understanding mobility mechanisms in extremely scaled HfO2 (EOT 0.42 nm) using remote interfacial layer scavenging technique and Vt-tuning dipoles with gate-first processAndo, T. / Frank, M. M. / Choi, K. / Choi, C. / Bruley, J. / Hopstaken, M. / Copel, M. / Cartier, E. / Kerber, A. / Callegari, A. et al. | 2009
- 1
-
Fermi level depinning at metal-organic semiconductor interface for low-resistance Ohmic contactsLiu, Zihong / Kobayashi, Masaharu / Paul, Bipul C. / Bao, Zhenan / Nishi, Yoshio et al. | 2009
- 1
-
3D stacked ICs using Cu TSVs and Die to Wafer Hybrid Collective bondingKatti, G. / Mercha, A. / Van Olmen, J. / Huyghebaert, C. / Jourdain, A. / Stucchi, M. / Rakowski, M. / Debusschere, I. / Soussan, P. / Dehaene, W. et al. | 2009
- 1
-
Novel, 100V, Trench Super Junction high voltage TFTs using low temperature poly crystalline siliconDhyani, M.H. / Green, D. / Sweet, M. / Sankara Narayanan, E.M / Deane, S.C. / Young, N.D et al. | 2009
- 1
-
Table of contents| 2009