Exploring the ultimate resolution of positive-tone chemically amplified resists: 26 nm dense lines using extreme ultraviolet interference lithography (Englisch)
- Neue Suche nach: Golovkina, V. N.
- Neue Suche nach: Nealey, P. F.
- Neue Suche nach: Cerrina, F.
- Neue Suche nach: Taylor, J. W.
- Neue Suche nach: Solak, H. H.
- Neue Suche nach: David, C.
- Neue Suche nach: Gobrecht, J.
- Neue Suche nach: Golovkina, V. N.
- Neue Suche nach: Nealey, P. F.
- Neue Suche nach: Cerrina, F.
- Neue Suche nach: Taylor, J. W.
- Neue Suche nach: Solak, H. H.
- Neue Suche nach: David, C.
- Neue Suche nach: Gobrecht, J.
In:
JOURNAL OF VACUUM SCIENCE AND TECHNOLOGY B MICROELECTRONICS AND NANOMETER STRUCTURES
;
22
;
99-103
;
2004
-
ISSN:
- Aufsatz (Zeitschrift) / Print
-
Titel:Exploring the ultimate resolution of positive-tone chemically amplified resists: 26 nm dense lines using extreme ultraviolet interference lithography
-
Beteiligte:Golovkina, V. N. ( Autor:in ) / Nealey, P. F. ( Autor:in ) / Cerrina, F. ( Autor:in ) / Taylor, J. W. ( Autor:in ) / Solak, H. H. ( Autor:in ) / David, C. ( Autor:in ) / Gobrecht, J. ( Autor:in )
-
Erschienen in:
-
Verlag:
- Neue Suche nach: American Vacuum Society
-
Erscheinungsdatum:01.01.2004
-
Format / Umfang:5 pages
-
ISSN:
-
Medientyp:Aufsatz (Zeitschrift)
-
Format:Print
-
Sprache:Englisch
- Neue Suche nach: 621.55
- Weitere Informationen zu Dewey Decimal Classification
-
Klassifikation:
DDC: 621.55 -
Datenquelle:
© Metadata Copyright the British Library Board and other contributors. All rights reserved.
Inhaltsverzeichnis – Band 22
Zeige alle Jahrgänge und Ausgaben
Die Inhaltsverzeichnisse werden automatisch erzeugt und basieren auf den im Index des TIB-Portals verfügbaren Einzelnachweisen der enthaltenen Beiträge. Die Anzeige der Inhaltsverzeichnisse kann daher unvollständig oder lückenhaft sein.
- 1
-
Silicon deposition from mixtures: Effect of very high boron concentration on microstructureHerner, S. B. / Clark, M. H. et al. | 2004
- 6
-
What happens in the annealing of carbon nitride thin films?Xu, Wentao / Fujimoto, Toshiyuki / Wang, Li / Ohchi, Tadayuki / Kojima, Isao et al. | 2004
- 12
-
Electrical test structures for mapping nanometer-scale pattern placement errorsWang, Fu-Min / Pease, R. Fabian W. et al. | 2004
- 16
-
Batch fabricated scanning near field optical microscope/atomic force microscopy microprobe integrated with piezoresistive cantilever beam with highly reproducible focused ion beam micromachined apertureGrabiec, P. / Radojewski, J. / Zaborowski, M. / Domanski, K. / Schenkel, T. / Rangelow, I. W. et al. | 2004
- 22
-
Nanoimprint using three-dimensional microlens mold made by focused-ion-beam chemical vapor depositionWatanabe, K. / Morita, T. / Kometani, R. / Hoshino, T. / Kondo, K. / Kanda, K. / Haruyama, Y. / Kaito, T. / Fujita, J. / Ishida, M. et al. | 2004
- 27
-
Fluoropolymers for 157 nm single-layer resistsToriumi, M. / Ishikawa, T. / Kodani, T. / Koh, M. / Moriya, T. / Yamashita, T. / Araki, T. / Aoyama, H. / Yamazaki, T. / Furukawa, T. et al. | 2004
- 31
-
Fabrication of FePt nanoparticles for self-organized magnetic arrayYang, XiaoMin / Liu, Chao / Ahner, Joachim / Yu, Jun / Klemmer, Timothy / Johns, Earl / Weller, Dieter et al. | 2004
- 35
-
Vertically aligned carbon nanofiber-based field emission electron sources with an integrated focusing electrodeGuillorn, M. A. / Yang, X. / Melechko, A. V. / Hensley, D. K. / Hale, M. D. / Merkulov, V. I. / Simpson, M. L. / Baylor, L. R. / Gardner, W. L. / Lowndes, D. H. et al. | 2004
- 40
-
Tantalum film for x-ray lithography mask deposited by electron cyclotron resonance plasma source coupled with divided microwavesNishimura, H. / Ono, T. / Oda, M. / Matsuo, S. et al. | 2004
- 46
-
Crystallographic effects in micro/nanomachining of single-crystal calcium fluorideYan, Jiwang / Syoji, Katsuo / Tamaki, Jun’ichi et al. | 2004
- 52
-
Physical and electrical properties of ultrathin stacked gate dielectrics on compressively strained- heterolayersMaikap, S. / Lee, Je-Hun / Kim, Doh-Y. / Mahapatra, R. / Ray, S. K. / Song, Jae-Hoon / No, Y. S. / Choi, Won-Kook et al. | 2004
- 57
-
Key role of nanocrystalline feature in porous polycrystalline silicon diodes for efficient ballistic electron emissionIchihara, Tsutomu / Hatai, Takashi / Aizawa, Koichi / Komoda, Takuya / Kojima, Akira / Koshida, Nobuyoshi et al. | 2004
- 60
-
Formation mechanism and Coulomb blockade effect in self-assembled gold quantum dotsHu, S. F. / Yeh, R. L. / Liu, R. S. et al. | 2004
- 65
-
Characterization of scratches generated by a multiplaten copper chemical–mechanical polishing processTeo, T. Y. / Goh, W. L. / Lim, V. S. K. / Leong, L. S. / Tse, T. Y. / Chan, L. et al. | 2004
- 70
-
Fabrication of InP-based two-dimensional photonic crystal membraneXing, Aimin / Davanco, Marcelo / Blumenthal, Daniel J. / Hu, Evelyn L. et al. | 2004
- 74
-
Nanofabrication using nanotranslated stencil masks and lift offRacz, Zoltan / He, Jianli / Srinivasan, Srivatsan / Zhao, Wei / Seabaugh, Alan / Han, Keping / Ruchhoeft, Paul / Wolfe, Jack et al. | 2004
- 77
-
Electrical and optical properties of hydrogen plasma treated -AlGaN films grown by hydride vapor phase epitaxyPolyakov, A. Y. / Smirnov, N. B. / Govorkov, A. V. / Pashkova, N. V. / Shlensky, A. A. / Baik, K. H. / Pearton, S. J. / Luo, B. / Ren, F. / Zavada, J. M. et al. | 2004
- 82
-
Milling of submicron channels on gold layer using double charged arsenic ion beamTseng, Ampere A. / Insua, Ivan A. / Park, Jong S. / Li, Bo / Vakanas, George P. et al. | 2004
- 90
-
Selective growth of carbon nanotube on scanning probe tips by microwave plasma chemical vapor depositionPan, F. M. / Liu, Y. B. / Chang, Y. / Chen, C. Y. / Tsai, T. G. / Chang, M. N. / Sheu, J. T. et al. | 2004
- 94
-
Method of control of nitrogen content in ZnO films: Structural and photoluminescence propertiesMa, J. G. / Liu, Y. C. / Mu, R. / Zhang, J. Y. / Lu, Y. M. / Shen, D. Z. / Fan, X. W. et al. | 2004
- 99
-
Exploring the ultimate resolution of positive-tone chemically amplified resists: 26 nm dense lines using extreme ultraviolet interference lithographyGolovkina, V. N. / Nealey, P. F. / Cerrina, F. / Taylor, J. W. / Solak, H. H. / David, C. / Gobrecht, J. et al. | 2004
- 104
-
Wave-front errors of reference spherical waves in high-numerical aperture point diffraction interferometersSekine, Yoshiyuki / Suzuki, Akiyoshi / Hasegawa, Masanobu / Ouchi, Chidane / Hara, Shinichi / Hasegawa, Takayuki / Kuramoto, Yoshiyuki / Kato, Seima / Murakami, Katsuhiko / Saito, Jun et al. | 2004
- 109
-
Silicon–germanium spherical quantum dot infrared photodetectors prepared by the combination of bottom-up and top-down technologiesLin, Tse-Chi / Lee, Si-Chen / Cheng, Hung-Hsiang et al. | 2004
- 116
-
Roles of copper mechanical characteristics in electropolishingChang, Shih-Chieh / Shieh, Jia-Min / Fang, Jeng-Yu / Wang, Ying-Lang / Dai, Bau-Tong / Feng, Ming-Shiann et al. | 2004
- 120
-
Properties of Fe-doped semi-insulating GaN structuresPolyakov, A. Y. / Smirnov, N. B. / Govorkov, A. V. / Pearton, S. J. et al. | 2004
- 126
-
Improvement of resolution in x-ray lithography by reducing secondary electron blurKise, K. / Watanabe, H. / Itoga, K. / Sumitani, H. / Amemiya, M. et al. | 2004
- 131
-
Vinyl ethers in ultraviolet curable formulations for step and flash imprint lithographyKim, E. K. / Stacey, N. A. / Smith, B. J. / Dickey, M. D. / Johnson, S. C. / Trinque, B. C. / Willson, C. G. et al. | 2004
- 136
-
Resolution-limiting factors in low-energy electron-beam proximity projection lithography: Mask, projection, and resist processYoshizawa, Masaki / Oguni, Kumiko / Nakano, Hiroyuki / Amai, Keiko / Nohama, Shoji / Moriya, Shigeru / Kitagawa, Tetsuya et al. | 2004
- 140
-
Wetting and dissolution studies of fluoropolymers used in 157 nm photolithography applicationsMarkley, T. J. / Marsella, J. A. / Robertson, E. A. / Parris, G. E. / Zarkov, Z. / Jakubek, V. / Ober, C. K. et al. | 2004
- 146
-
Combination lithography for photonic-crystal circuitsSchneider, Garrett J. / Murakowski, Janusz / Venkataraman, Sriram / Prather, Dennis W. et al. | 2004
- 152
-
High-energy ion projection for deep ion implantation as a low cost high throughput alternative for subsequent epitaxy processesMeijer, Jan / Burchard, Bernd / Ivanova, Katja / Volland, Burkhard E. / Rangelow, Ivo W. / Rüb, Michael / Deboy, Gerald et al. | 2004
- 158
-
Growth of strained Si and strained Ge heterostructures on relaxed by ultrahigh vacuum chemical vapor depositionLee, Minjoo L. / Pitera, Arthur J. / Fitzgerald, E. A. et al. | 2004
- 165
-
Fabrication and characterization of a monolithic thin-film edge emitter device with zinc–oxide–tungsten-based thin-film phosphorBhatia, Vasuda / Karpov, Leonid K. / Weichold, Mark H. et al. | 2004
- 171
-
Specific contact resistance of Ti/Al/Pt/Au ohmic contacts to phosphorus-doped ZnO thin filmsIp, K. / Heo, Y. W. / Baik, K. H. / Norton, D. P. / Pearton, S. J. / Ren, F. et al. | 2004
- 175
-
Characteristics of thin films as gate electrodes for dual gate Si-complementary metal-oxide-semiconductor devicesSuh, You-Seok / Heuss, Greg / Misra, Veena et al. | 2004
- 180
-
Self-annealing effect of electrolessly deposited copper thin films based on Co(II)–ethylenediamine as a reducing agentLee, Chang Hwa / Kim, Jae Jeong et al. | 2004
- 185
-
Nanoimprint lithography of chromophore molecules under high-vacuum conditionsPisignano, Dario / Melcarne, Angelo / Mangiullo, Diego / Cingolani, Roberto / Gigli, Giuseppe et al. | 2004
- 189
-
Negative resist image by dry etching as a surface imaging process using focused ion beamsArshak, Khalil / Mihov, Miroslav / Arshak, Arous / McDonagh, Declan / Sutton, David / Newcomb, Simon B. et al. | 2004
- 196
-
Compositional effects on electrical and mechanical properties in carbon-doped oxide dielectric films: Application of Fourier-transform infrared spectroscopyAndideh, Ebrahim / Lerner, Michael / Palmrose, Gerald / El-Mansy, Safaa / Scherban, Tracey / Xu, Guanghai / Blaine, Jeanette et al. | 2004
- 202
-
Model for photoresist trim etch in inductively coupled plasmaRauf, Shahid et al. | 2004
- 212
-
Local excitation of surface plasmon in structured Au films by atomic force anodic oxidationKim, Jeongyong / Kim, JunHo / Park, Kang-Ho et al. | 2004
- 216
-
Initiation and evolution of phase separation in GaP/InP short-period superlatticesShin, B. / Chen, W. / Goldman, R. S. / Song, J. D. / Kim, J. M. / Lee, Y. T. et al. | 2004
- 220
-
Microfabrication of silicon–nitride micromesh bolometric detectors for planck high frequency instrumentYun, Minhee / Bock, Jamie / Holmes, Warren / Koch, Tim / Mulder, Jerry / Vasquez, Richard P. / Wild, Larry / Lange, Andrew et al. | 2004
- 226
-
Mechanism of ArF resist-pattern shrinkage in critical-dimension scanning electron microscopy measurementAzuma, Tsukasa / Chiba, Kenji / Abe, Hideaki / Motoki, Hiroshi / Sasaki, Noriaki et al. | 2004
- 231
-
Electron beam prebunching in planar cold cathodes with surface current carrying thin filmsKrishnan, R. / Cahay, M. et al. | 2004
- 237
-
Growth of Si wires on a Si(111) substrate under ultrahigh vacuum conditionPark, H. D. / Hogan, T. P. et al. | 2004
- 240
-
Alteration of Cu conductivity in the size effect regimeRossnagel, S. M. / Kuan, T. S. et al. | 2004
- 248
-
Advanced processing techniques for through-wafer interconnectsBurkett, S. L. / Qiao, X. / Temple, D. / Stoner, B. / McGuire, G. et al. | 2004
- 257
-
Nanomanipulator and actuator fabrication on glass capillary by focused-ion-beam-chemical vapor depositionKometani, Reo / Morita, Takahiko / Watanabe, Keiichiro / Hoshino, Takayuki / Kondo, Kazushige / Kanda, Kazuhiro / Haruyama, Yuichi / Kaito, Takashi / Fujita, Jun-ichi / Ishida, Masahiko et al. | 2004
- 264
-
Actinic mask metrology for extreme ultraviolet lithographyKinoshita, H. / Haga, T. / Hamamoto, K. / Takada, S. / Kazui, N. / Kakunai, S. / Tsubakino, H. / Shoki, T. / Endo, M. / Watanabe, T. et al. | 2004
- 268
-
Dry cleaning technique for particle removal based on gas-flow and down-flow plasmaMomonoi, Yoshinori / Yokogawa, Kenetsu / Izawa, Masaru et al. | 2004
- 275
-
Isotropic/anisotropic growth behavior and faceting morphology of Si epitaxial layer selectively grown by cold wall ultrahigh vacuum chemical vapor depositionLim, Seung-Hyun / Song, Sukchan / Yoon, Euijoon / Lee, Jong-Ho et al. | 2004
- 283
-
Optimized lift-off technique for deposition of high-quality Ti strip on crystalZhang, De-Long / Wong, W. H. / Pun, E. Y. B. et al. | 2004
- 288
-
Preface| 2004
- 289
-
Recent developments and applications of plasma immersion ion implantationChu, Paul K. et al. | 2004
- 297
-
Chemical and electrical dopants profile evolution during solid phase epitaxial regrowthPawlak, B. J. / Lindsay, R. / Surdeanu, R. / Dieu, B. / Geenen, L. / Hoflijk, I. / Richard, O. / Duffy, R. / Clarysse, T. / Brijs, B. et al. | 2004
- 302
-
Ultrashallow junction formation by point defect engineeringShao, Lin / Thompson, Phillip E. / van der Heide, P. A. W. / Patel, Sanjay / Chen, Quak. Y. / Wang, Xuemei / Chen, Hui / Liu, Jiarui / Chu, Wei-Kan et al. | 2004
- 306
-
Leakage optimization of ultra-shallow junctions formed by solid phase epitaxial regrowthLindsay, R. / Henson, K. / Vandervorst, W. / Maex, K. / Pawlak, B. J. / Duffy, R. / Surdeanu, R. / Stolk, P. / Kittl, J. A. / Giangrandi, S. et al. | 2004
- 312
-
Influence of low temperature preanneals on dopant and defect behavior for low energy Ge preamorphized siliconCamillo-Castillo, R. A. / Law, M. E. / Jones, K. S. / Rubin, L. M. et al. | 2004
- 317
-
Ultra-shallow depth profiling with secondary ion mass spectrometryTomita, M. / Hongo, C. / Suzuki, M. / Takenaka, M. / Murakoshi, A. et al. | 2004
- 323
-
Development of multiple As delta layer Si reference thin film for shallow junction secondary ion mass spectrometry profilingMoon, D. W. / Lee, H. I. / Kim, H. K. / Kim, K. J. / Shon, H. K. / Won, J. Y. / Lee, J. C. / Toujou, F. et al. | 2004
- 327
-
Secondary ion mass spectrometry characterization of source/drain junctions for strained silicon channel metal–oxide–semiconductor field-effect transistorsDuda, Erika / Lu, Shifeng / Liu, Chun-Li / Jiang, Zhixiong / Lerma, Joe / Barr, Alex / Thean, Aaron / Orlowski, Marius / White, Ted / Nguyen, Bich-Yen et al. | 2004
- 332
-
Dopant profiling in ultrathin silicon-on-insulator layersBennett, J. / Tichy, R. S. et al. | 2004
- 336
-
Optimization of secondary ion mass spectrometry ultra-shallow boron profiles using an oblique incidence beamGiubertoni, D. / Barozzi, M. / Anderle, M. / Bersani, M. et al. | 2004
- 341
-
Accurate in depth profiling of As and P shallow implants by secondary ion mass spectroscopyde Chambost, E. / Merkulov, A. / Schuhmacher, M. / Peres, P. et al. | 2004
- 346
-
Secondary ion mass spectrometry analysis of implanted and rapid thermal processing annealed wafers for sub-100 nanometer technologyEhrke, U. / Sears, A. / Lerch, W. / Paul, S. / Roters, G. / Downey, D. F. / Arevalo, E. A. et al. | 2004
- 350
-
Secondary ion mass spectrometry backside analysis of barrier layers for copper diffusionGu, C. / Pivovarov, A. / Garcia, R. / Stevie, F. / Griffis, D. / Moran, J. / Kulig, L. / Richards, J. F. et al. | 2004
- 355
-
X-ray and secondary ion mass spectrometry investigation of activation behavior of self-preamorphized silicon substrateSuvkhanov, Agajan / Mirabedini, Mohammad / Hornback, Verne / Chen, Zhihao et al. | 2004
- 358
-
Two-dimensional characterization of carrier concentration in metal-oxide-semiconductor field-effect transistors with the use of scanning tunneling microscopyFukutome, Hidenobu / Arimoto, Hiroshi / Hasegawa, Shigehiko / Nakashima, Hisao et al. | 2004
- 364
-
Analysis of the two-dimensional-dopant profile in a 90 nm complementary metal–oxide–semiconductor technology using scanning spreading resistance microscopyEyben, P. / Alvarez, D. / Jurczak, M. / Rooyackers, R. / De Keersgieter, A. / Augendre, E. / Vandervorst, W. et al. | 2004
- 369
-
Investigation of two-dimensional diffusion of the self-interstitials in crystalline silicon at 800^oC and at room temperatureGiannazzo, F. / Mirabella, S. / Priolo, F. / Goghero, D. / Raineri, V. et al. | 2004
- 369
-
Investigation of two-dimensional diffusion of the self-interstitials in crystalline silicon at and at room temperatureGiannazzo, F. / Mirabella, S. / Priolo, F. / Goghero, D. / Raineri, V. et al. | 2004
- 373
-
Two-dimensional ultrashallow junction characterization of metal-oxide-semiconductor field effect transistors with strained siliconWang, Xiang-Dong / Liu, Chun-li / Thean, Aaron / Duda, Erika / Liu, Ran / Xie, Qianghua / Lu, Shifeng / Barr, Alex / White, Ted / Nguyen, Bich-yen et al. | 2004
- 377
-
High-resolution scanning spreading resistance microscopy of surrounding-gate transistorsÁlvarez, D. / Schömann, S. / Goebel, B. / Manger, D. / Schlösser, T. / Slesazeck, S. / Hartwich, J. / Kretz, J. / Eyben, P. / Fouchier, M. et al. | 2004
- 381
-
Study on poly depletion in sub-0.1 mum metal-oxide-semiconductor field effect transistors by scanning capacitance microscopyWang, Y. G. / Edwards, H. / Ukraintsev, V. / Wu, J. / Chen, J. / Waller, J. / Woodall, D. / Scott, D. B. / Machala, C. / Ekbote, S. et al. | 2004
- 381
-
Study on poly depletion in sub-0.1 μm metal–oxide–semiconductor field effect transistors by scanning capacitance microscopyWang, Y. G. / Edwards, H. / Ukraintsev, V. / Wu, J. / Chen, J. / Waller, J. / Woodall, D. / Scott, D. B. / Machala, C. / Ekbote, S. et al. | 2004
- 385
-
Assessing the performance of two-dimensional dopant profiling techniquesDuhayon, N. / Eyben, P. / Fouchier, M. / Clarysse, T. / Vandervorst, W. / Álvarez, D. / Schoemann, S. / Ciappa, M. / Stangoni, M. / Fichtner, W. et al. | 2004
- 394
-
Simulation of scanning capacitance microscopy measurements on ultranarrow doping profiles in siliconGiannazzo, F. / Goghero, D. / Raineri, V. / Mirabella, S. / Priolo, F. / Liotta, S. F. / Rinaudo, S. et al. | 2004
- 399
-
Towards reproducible scanning capacitance microscope image interpretationKopanski, J. J. / Marchiando, J. F. / Rennex, B. G. / Simons, D. / Chau, Q. et al. | 2004
- 406
-
Accuracy of scanning capacitance microscopy for the delineation of electrical junctionsStangoni, Maria / Ciappa, Mauro / Fichtner, Wolfgang et al. | 2004
- 411
-
On calculating scanning capacitance microscopy data for a dopant profile in semiconductorsMarchiando, J. F. / Kopanski, J. J. et al. | 2004
- 417
-
PSPICE analysis of a scanning capacitance microscope sensorBuh, G. H. / Tran, Chi / Kopanski, J. J. et al. | 2004
- 422
-
Carrier profiling via scanning tunneling spectroscopy: Comparison with scanning capacitance microscopyLiu, F. Y. / Griffin, P. B. / Plummer, J. D. / Lyding, J. W. / Moran, J. M. / Richards, J. F. / Kulig, L. et al. | 2004
- 427
-
Two-dimensional dopant profiling of ultrashallow junction with off-axis electron holography: A round robin experimentFrost, B. G. / Thesen, A. / Joy, D. C. / Foran, Brendan / Brand, Karin et al. | 2004
- 432
-
Nondestructive dopant profile measurement and its quantitative analysis using the nanocapacitance–voltage methodKang, Eu-Seok / Hwang, Ho-Jung / Lee, Gyoo-Yeong et al. | 2004
- 439
-
Carrier illumination for characterization of ultrashallow doping profilesClarysse, T. / Lindsay, R. / Vandervorst, W. / Budiarto, E. / Borden, P. et al. | 2004
- 444
-
Metal film characterization with qualified spreading resistanceClarysse, T. / Hoflijk, I. / Zhang, W. / Maex, K. / Vandervorst, W. et al. | 2004
- 450
-
Electrical characterization of silicon-on-insulator structures with a nondamaging elastic–metal gateHillard, Robert J. / Howland, William H. / Tan, Louison C. / Ye, Win et al. | 2004
- 455
-
Diffusion and segregation of shallow As and Sb junctions in siliconKrüger, D. / Rücker, H. / Heinemann, B. / Melnik, V. / Kurps, R. / Bolze, D. et al. | 2004
- 459
-
Secondary defect formation in bonded silicon-on-insulator after boron implantationSaavedra, A. F. / King, A. C. / Jones, K. S. / Jones, E. C. / Chan, K. K. et al. | 2004
- 463
-
Analytical damage tables for crystalline siliconChan, H. Y. / Benistant, F. / Srinivasan, M. P. / Erlebach, A. / Zechner, C. et al. | 2004
- 468
-
{311} defect evolution in ion-implanted, relaxedCrosby, Robert / Jones, K. S. / Law, M. E. / Larsen, A. Nylandsted / Hansen, J. Lundsgaard et al. | 2004
- 471
-
Effect of nitride sidewall spacer process on boron dose loss in ultrashallow junction formationKohli, P. / Jain, Amitabh / Bu, H. / Chakravarthi, S. / Machala, C. / Dunham, S. T. / Banerjee, S. K. et al. | 2004
- 479
-
Removal of dry etch damage in p-type GaN by wet etching of sacrificial oxide layerLee, Ji-Myon / Lee, Kug-Seung / Park, Seong-Ju et al. | 2004
- 483
-
Global warming gas emission during plasma cleaning process of silicon nitride using chemistry with additive Ar andKim, K. J. / Oh, C. H. / Lee, N.-E. / Kim, J. H. / Bae, J. W. / Yeom, G. Y. / Yoon, S. S. et al. | 2004
- 489
-
Recovery of dry-etch-induced surface damage on Mg-doped GaN by ambient thermal annealingMoon, Yong-Tae / Kim, Dong-Joon / Park, Jin-Sub / Oh, Jeong-Tak / Lee, Ji-Myon / Park, Seong-Ju et al. | 2004
- 492
-
Fabrication of curved structures with electron-beam and surface structure characterizationChen, Jem-Kun / Ko, Fu-Hsiang / Chen, Hsuan-Ko / Chou, Cheng-Tung / Chen, Hsuen-Li / Chang, Feng-Chih et al. | 2004
- 501
-
Progress toward a raster multibeam lithography toolCoyle, S. T. / Shamoun, B. / Yu, M. / Maldonado, J. / Thomas, T. / Holmgren, D. / Chen, X. / Scheinfein, M. R. / DeVore, B. / Gesley, M. et al. | 2004
- 506
-
Microfabricated cantilever with metallic tip for electrostatic and capacitance microscopy and its application to investigation of semiconductor devicesGotszalk, Teodor / Czarnecki, Piotr / Grabiec, Piotr / Domański, Krzysztof / Zaborowski, Michał / Rangelow, Ivo W. et al. | 2004
- 510
-
Smooth and vertical-sidewall InP etching using inductively coupled plasmaLin, Jie / Leven, Andreas / Weimann, N. G. / Yang, Y. / Kopf, R. F. / Reyes, R. / Chen, Y. K. / Choa, Fow-sen et al. | 2004
- 513
-
Transformer coupled plasma etching of 3C-SiC films using fluorinated chemistry for microelectromechanical systems applicationsGao, Di / Wijesundara, Muthu B. J. / Carraro, Carlo / Howe, Roger T. / Maboudian, Roya et al. | 2004
- 519
-
Etching of submicron holes in andBoucher, R. / Morgenroth, W. / Roth, H. / Meyer, H. G. / Liguda, C. / Eich, M. et al. | 2004
- 523
-
Utilizing maximum likelihood deblurring algorithm to recover high frequency components of scanning electron microscopy imagesWilliamson, Mike / Neureuther, Andrew et al. | 2004
- 528
-
Effect of the cyclic delivery of (hexafluoroacetylacetonate)Cu^(^I^) x (3,3-dimethyl-1-butene) pulse and Ar purge gas on the low temperature copper metalorganic chemical vapor depositionKim, K. / Tak, Y. / Yong, K. et al. | 2004
- 528
-
Effect of the cyclic delivery of ×(3,3-dimethyl-1-butene) pulse and Ar purge gas on the low temperature copper metalorganic chemical vapor depositionKim, Kwansoo / Tak, Youngjo / Yong, Kijung et al. | 2004
- 533
-
Effects of fluorocarbon gas species on electrical conductivity and chemical structure of deposited polymer in etching processesShimmura, T. / Soda, S. / Samukawa, S. / Koyanagi, M. / Hane, K. et al. | 2004
- 539
-
Advantages of energetic cluster evaporation for organic light emitting devicesJeong, Dong-Seob / Hwang, D. K. / Im, Seongil et al. | 2004
- 543
-
Silicon–oxide etching process employing an electron-beam-excited plasmaIto, Masafumi / Takeda, Keigo / Shiina, Tatsuo / Okamura, Yasuyuki / Nagai, Hisao / Hori, Masaru / Goto, Toshio et al. | 2004
- 548
-
Investigation of ash damage to ultralow- inorganic materialsYonekura, Kazumasa / Sakamori, Shigenori / Goto, Kinya / Matsuura, Masazumi / Fujiwara, Nobuo / Yoneda, Masahiro et al. | 2004
- 554
-
Atomic layer diffusion and electronic structure at interfacesSmith, P. E. / Goss, S. H. / Bradley, S. T. / Hudait, M. K. / Lin, Y. / Ringel, S. A. / Brillson, L. J. et al. | 2004
- 560
-
High quality ion-induced secondary electron imaging for MeV nuclear microprobe applicationsTeo, E. J. / Breese, M. B. H. / Bettiol, A. A. / Watt, F. / Alves, L. C. et al. | 2004
- 565
-
Post-growth process relaxation properties of strained quantum well heterostructures grown by molecular beam epitaxyJacob, A. P. / Myrberg, T. / Nur, O. / Willander, M. / Kyutt, R. N. et al. | 2004
- 570
-
Magnetic field and temperature dependence of an atomic force microscope-defined quantum point contactMori, G. / Lazzarino, M. / Ercolani, D. / Biasiol, G. / Sorba, L. et al. | 2004
- 574
-
Influence of polymer phase separation on roughness of resist featuresYasin, Shazia / Hasko, D. G. / Khalid, M. N. / Weaver, D. J. / Ahmed, H. et al. | 2004
- 579
-
InGaP/GaAs/InGaP composite collector double heterojunction bipolar transistor with high breakdown, low offset, and knee voltageLew, K. L. / Zhang, R. / Yoon, S. F. et al. | 2004
- 583
-
Band-gap expansion, core-level shift, and dielectric suppression of porous silicon passivated by plasma fluorinationPan, L. K. / Ee, Y. K. / Sun, C. Q. / Yu, G. Q. / Zhang, Q. Y. / Tay, B. K. et al. | 2004
- 588
-
Application of a segmentation algorithm to quantum dots studyBelardinelli, P. / Capoleoni, S. / Tirozzi, B. / Coluzza, C. et al. | 2004
- 592
-
Activation improvement of ion implanted boron in silicon through fluorine co-implantationShauly, Eitan N. / Lachman-Shalem, Sivan et al. | 2004
- 597
-
Formation processes of fine structures induced by high electric fieldsDou, Juying / Shang, Weijia / Chen, Zhongwei et al. | 2004
- 602
-
Influence of residual solvent in polymers patterned by nanoimprint lithographyGourgon, C. / Tortai, J. H. / Lazzarino, F. / Perret, C. / Micouin, G. / Joubert, O. / Landis, S. et al. | 2004
- 607
-
Reduction of stacking faults in the ZnSe/GaAs heterostructure with a low-temperature-grown ZnSe buffer layerSong, J. S. / Oh, D. C. / Makino, H. / Hanada, T. / Cho, M. W. / Yao, T. / Park, Y.-G. / Shindo, D. / Chang, J. H. et al. | 2004
- 611
-
An inlaid electroplated copper coil for on-chip powering of microelectromechanical systems devicesWu, Jie / Bernstein, Gary H. et al. | 2004
- 619
-
Comparison of Ir and Ni-based Ohmic contacts for AlGaN/GaN high electron mobility transistorsFitch, R. C. / Gillespie, J. K. / Moser, N. / Jessen, G. / Jenkins, T. / Dettmer, R. / Via, D. / Crespo, A. / Dabiran, A. M. / Chow, P. P. et al. | 2004
- 624
-
Effect of dislocations on luminescence properties of silicon-doped GaN grown by metalorganic chemical vapor deposition methodAlam, Jahangir / Bathe, Ravi / Vispute, R. D. / Zavada, John M. / Litton, Cole W. / Iliadis, Agis A. / Mohammad, S. Noor et al. | 2004
- 630
-
Ultrahigh resolution secondary ion mass spectrometry profiling with oblique beams below 200 eVJiang, Z. X. / Lerma, J. / Sieloff, D. / Lee, J. J. / Backer, S. / Bagchi, S. / Conner, J. et al. | 2004
- 636
-
Enhanced model for scanning tunneling microscope tip geometry measured with field ion microscopyRao, P. V. M. / Jensen, C. P. / Silver, R. M. et al. | 2004
- 642
-
Critical cluster size of InAs quantum dots formed by Stranski–Krastanow modeShiramine, Ken-ichi / Itoh, Tomohiko / Muto, Shunichi et al. | 2004
- 647
-
Effect of thin-film imaging on line edge roughness transfer to underlayers during etch processesGoldfarb, Dario L. / Mahorowala, Arpan P. / Gallatin, Gregg M. / Petrillo, Karen E. / Temple, Karen / Angelopoulos, Marie / Rasgon, Stacy / Sawin, Herbert H. / Allen, Scott D. / Lawson, Margaret C. et al. | 2004
- 654
-
Compositional shift in beneath annealed metal contactsHull, B. A. / Mohney, S. E. / Chowdhury, U. / Dupuis, R. D. et al. | 2004
- 663
-
Two-step surface treatment technique: Realization of nonalloyed low-resistance Ti/Al/Ti/Au ohmic contact toMotayed, Abhishek / Jah, Muzar / Sharma, Ashok / Anderson, Wallace T. / Litton, Cole W. / Mohammad, S. Noor et al. | 2004
- 668
-
Use of the focused ion beam technique to produce a sharp spherical diamond indenter for sub-10 nm nanoindentation measurementsYu, Ning / Polycarpou, Andreas A. et al. | 2004
- 673
-
Fabrication of hyperboloid-drum structure for electrically pumped laser of sub-micrometer to nanometer diameter active areasKim, Jun-Youn / An, Sung-Jae / Kim, Jungyeon / Lee, Jawoong / Kang, Bongkoo / Kwon, O’Dae et al. | 2004
- 678
-
Size-dependent resonant tunneling and storing of electrons in a nanocrystalline silicon floating-gate double-barrier structureWu, Liangcai / Dai, Min / Huang, Xinfan / Li, Wei / Chen, Kunji et al. | 2004
- 682
-
Facet evolution in selective epitaxial growth of Si by cold-wall ultrahigh vacuum chemical vapor depositionLim, Seung-Hyun / Song, Sukchan / Lee, Gun-Do / Yoon, Euijoon / Lee, Jong-Ho et al. | 2004
- 688
-
Materials growth for InAs high electron mobility transistors and circuitsBennett, Brian R. / Tinkham, Brad P. / Boos, J. Brad / Lange, Michael D. / Tsai, Roger et al. | 2004
- 695
-
Image placement issues for ITO-based step and flash imprint lithography templatesNordquist, K. J. / Ainley, E. S. / Mancini, D. P. / Dauksher, W. J. / Gehoski, K. A. / Baker, J. / Resnick, D. J. / Masnyj, Z. / Mangat, P. J. S. et al. | 2004
- 702
-
Two-dimensional electron gas formation in undoped quantum wellsCapotondi, F. / Biasiol, G. / Vobornik, I. / Sorba, L. / Giazotto, F. / Cavallini, A. / Fraboni, B. et al. | 2004
- 707
-
Fabrication of two-dimensional InP-based photonic crystals by chlorine based chemically assisted ion beam etchingMulot, M. / Anand, S. / Ferrini, R. / Wild, B. / Houdré, R. / Moosburger, J. / Forchel, A. et al. | 2004
- 710
-
Temperature dependent characteristics of bulk GaN Schottky rectifiers on free-standing GaN substratesKang, B. S. / Ren, F. / Irokawa, Y. / Baik, K. W. / Pearton, S. J. / Pan, C.-C. / Chen, G.-T. / Chyi, J.-I. / Ko, H.-J. / Lee, H.-Y. et al. | 2004
- 715
-
Approach curve method for large anode–cathode distancesMammana, V. P. / Monteiro, O. R. / Fonseca, L. R. C. et al. | 2004
- 721
-
Texture and electromigration performance in damascene interconnects formed by reflow sputtered Cu filmAbe, Kazuhide / Harada, Yusuke / Yoshimaru, Masaki / Onoda, Hiroshi et al. | 2004
- 729
-
Investigation of nanocrystal-/amorphous- composite filmsYau, Bao-Shun / Huang, Jow-Lay / Kan, Ming-Chi / Lii, Ding-Fwu et al. | 2004
- 736
-
Temperature characteristics due to stress-induced migrationAoyagi, Minoru et al. | 2004
- 742
-
Electron induced nanodeposition of tungsten using field emission scanning and transmission electron microscopesShimojo, M. / Mitsuishi, K. / Tameike, A. / Furuya, K. et al. | 2004
- 747
-
Acid–base reactions in a positive tone chemically amplified photoresist and their effect on imagingHoule, F. A. / Hinsberg, W. D. / Sanchez, M. I. et al. | 2004
- 758
-
Enhanced hole injection in organic electroluminescent device with an additional oxygen-rich indium–tin–oxide sublayerChoi, M. W. / Cho, K. / Sung, C. / Yang, J. / Yi, Y. / Noh, M. / Choi, J. C. / Jeong, K. et al. | 2004
- 762
-
Semiconducting and ferromagnetic properties of thin films grown by liquid-delivery metalorganic chemical vapor depositionSeong, Nak-Jin / Yoon, Soon-Gil et al. | 2004
- 766
-
Three-dimensional micro- and nanostructuring by combination of nanoimprint and x-ray lithographyTormen, Massimo / Romanato, Filippo / Altissimo, Matteo / Businaro, Luca / Candeloro, Patrizio / Di Fabrizio, Enzo M. et al. | 2004
- 771
-
Effects of hydrogen plasma treatment on electrical properties ofPolyakov, A. Y. / Smirnov, N. B. / Govorkov, A. V. / Baik, K. H. / Pearton, S. J. / Luo, B. / Ren, F. / Zavada, J. M. et al. | 2004
- 776
-
Fabrication of nanoelectrodes based on controlled placement of carbon nanotubes using alternating-current electric fieldChen, Zhi / Hu, Wenchong / Guo, Jun / Saito, Kozo et al. | 2004
- 781
-
Extreme ultraviolet lithography based nanofabrication using a bilevel photoresistTalin, A. A. / Cardinale, G. F. / Wallow, T. I. / Dentinger, P. / Pathak, S. / Chinn, D. / Folk, D. R. et al. | 2004
- 785
-
High conversion efficiency mass-limited Sn-based laser plasma source for extreme ultraviolet lithographyRichardson, M. / Koay, C.-S. / Takenoshita, K. / Keyser, C. / Al-Rabban, M. et al. | 2004
- 791
-
Thermodynamic considerations in the stability of binary oxides for alternative gate dielectrics in complementary metal–oxide–semiconductorsStemmer, Susanne et al. | 2004
- 801
-
Improvement of Rayleigh criterion with duty ratio characterization for subwavelength lithographyChua, Gek Soon / Tay, Cho Jui / Quan, Chenggen / Lin, Qunying et al. | 2004
- 809
-
Gettering by Ba films in color cathode ray tubesden Engelsen, Daniel / Ferrario, Bruno et al. | 2004
- 818
-
Chemical-mechanical photoresist drying in supercritical carbon dioxide with hydrocarbon surfactantsZhang, Xiaogang / Pham, Joseph Q. / Ryza, Nick / Green, Peter F. / Johnston, Keith P. et al. | 2004
- 826
-
Ion bombardment energy control for selective fluorocarbon plasma etching of organosilicate glassSilapunt, R. / Wendt, A. E. / Kirmse, K. / Losey, L. P. et al. | 2004
- 832
-
Study of InGaP/InGaAs double delta-doped channel heterostructure field-effect transistorsChuang, Hung-Ming / Cheng, Shiou-Ying / Chen, Chun-Yuan / Liao, Xin-Da / Lai, Po-Hsien / Kao, Chung-I / Liu, Wen-Chau et al. | 2004
- 838
-
Study of direct current characteristics of carbon-doped GaInP/GaAs heterojunction bipolar transistor grown by solid source molecular beam epitaxyZhang, R. / Lew, K. L. / Yoon, S. F. / Tan, K. H. / Sun, Z. Z. et al. | 2004
- 843
-
Mechanism of etching and surface relief development of PMMA under low-energy ion bombardmentKoval, Y. et al. | 2004
- 852
-
Stability and composition of Ni–germanosilicided filmsPey, K. L. / Chattopadhyay, S. / Choi, W. K. / Miron, Y. / Fitzgerald, E. A. / Antoniadis, D. A. / Osipowicz, T. et al. | 2004
- 859
-
Fabrication of very fine copper lines on silicon substrates patterned with poly(methylmethacrylate) via selective chemical vapor depositionDavazoglou, D. / Raptis, I. / Gleizes, A. / Vasilopoulou, M. et al. | 2004
- 861
-
Erratum: Reduction of ultraviolet-radiation damage in using pulse-time-modulated plasma and its application to charge-coupled 44 image-sensor processes [J. Vac. Sci. Technol. B 21, 2448 (2003)]Okigawa, Mitsuru / Ishikawa, Yasushi / Samukawa, Seiji et al. | 2004
- 865
-
Influence of preamorphization and recrystallization on indium doping profiles in siliconDuffy, R. / Venezia, V. C. / Heringa, A. / Pawlak, B. J. / Hopstaken, M. J. P. / Tamminga, Y. / Dao, T. / Roozeboom, F. / Wang, C. C. / Diaz, C. H. et al. | 2004
- 869
-
Useful protocol for evaluating subtle and important differences between photoresist formulationsPawloski, Adam R. / Nealey, Paul F. et al. | 2004
- 875
-
Fabrication of high-quality-factor photonic crystal microcavities in InAsP/InGaAsP membranesSrinivasan, Kartik / Barclay, Paul E. / Painter, Oskar / Chen, Jianxin / Cho, Alfred Y. et al. | 2004
- 880
-
In situ mass spectrometry in a 10 Torr W chemical vapor deposition process for film thickness metrology and real-time advanced process controlCho, Soon / Henn-Lecordier, Laurent / Liu, Yijun / Rubloff, Gary W. et al. | 2004
- 888
-
Size and site controlled Ga nanodots on GaAs seeded by focused ion beamsLugstein, A. / Basnar, B. / Bertagnolli, E. et al. | 2004
- 893
-
Dependences of bottom and sidewall etch rates on bias voltage and source power during the etching of poly-Si and fluorocarbon polymer using and plasmasMin, Jae-Ho / Lee, Gyeo-Re / Lee, Jin-kwan / Moon, Sang Heup / Kim, Chang-Koo et al. | 2004
- 902
-
Plasma silicon oxide–silica xerogel based planar optical waveguidesPonoth, Shom S. / Agarwal, Navnit T. / Persans, Peter D. / Plawsky, Joel L. et al. | 2004
- 909
-
Batch fabrication and characterization of ultrasensitive cantilevers with submicron magnetic tipsJenkins, Neil E. / DeFlores, Lauren P. / Allen, Jack / Ng, Tse Nga / Garner, Sean R. / Kuehn, Seppe / Dawlaty, Jahan M. / Marohn, John A. et al. | 2004
- 916
-
Scaling down of ultrathin gate dielectrics by using a nitrided Si surfaceKang, Chang Seok / Choi, Rino / Cho, Hag-Ju / Kim, Y. H. / Lee, Jack C. et al. | 2004
- 920
-
High precision determination of the elastic strain of InGaN/GaN multiple quantum wellsWu, M. F. / Zhou, Shengqiang / Yao, Shude / Zhao, Qiang / Vantomme, A. / Van Daele, B. / Piscopiello, E. / Van Tendeloo, G. / Tong, Y. Z. / Yang, Z. J. et al. | 2004
- 925
-
Structure–property relationships in porous GaN generated by Pt-assisted electroless etching studied by Raman spectroscopyWilliamson, Todd L. / Dı́az, Diego J. / Bohn, Paul W. / Molnar, Richard J. et al. | 2004
- 932
-
Recent advances in processing of ZnOPearton, S. J. / Norton, D. P. / Ip, K. / Heo, Y. W. / Steiner, T. et al. | 2004
- 949
-
Secondary ion mass spectrometry analysis of vertical cavity surface-emitting lasersKim, Yong K. / Choquette, Kent D. / Baker, Judith E. / Allerman, Andrew A. et al. | 2004
- 953
-
Hydrophobic/hydrophilic surface modification within buried air channelsSalas-Vernis, Jose Luis / Jayachandran, Joseph Paul / Park, Seongho / Kelleher, Hollie A. / Bidstrup Allen, Sue Ann / Kohl, Paul A. et al. | 2004
- 961
-
Growth and characterization of compressive-strain GaInAsP/InP multiple-quantum-well laser diodes with the tensile-strain GaInP quantum barrierWu, Ming-Yuan / Lei, Po-Hsun / Tsai, Chia-Lung / Yang, Chi-Da / Huang, Yin-Hsun / Ho, Wen-Jeng / Wu, Meng-Chyi et al. | 2004
- 966
-
Environment influence on Ti diffusion and layer degradation of a contact structureBaeri, A. / Raineri, V. / La Via, F. / Puglisi, V. / Condorelli, G. G. et al. | 2004
- 971
-
Reduction of ohmic contact on with surface treatment using inductively coupled plasmaSu, S. H. / Tseng, C. T. / Hau, C. C. / Yokoyama, M. / Chen, S. M. et al. | 2004
- 974
-
Enhancement-mode tunneling real space transfer high electron mobility transistorChen, Yen-Wei / Chen, Yeong-Jia / Hsu, Wei-Chou / Hsu, Rong-Tay / Wu, Yue-Huei / Lin, Yu-Shyan et al. | 2004
- 977
-
Fresnel diffraction mask for focus monitoringYuito, Takashi / Watanabe, Hisashi et al. | 2004
- 981
-
Room-temperature nanoimprinting on metallo-organic complexesMele, Elisa / Pisignano, Dario / Mazzeo, Marco / Persano, Luana / Gigli, Giuseppe / Cingolani, Roberto et al. | 2004
- 985
-
Multicolumn cell: Evaluation of the proof of concept systemHaraguchi, T. / Sakazaki, T. / Satoh, T. / Nakano, M. / Hamaguchi, S. / Kiuchi, T. / Yabara, H. / Yasuda, H. et al. | 2004
- 989
-
Formation and blistering of GaAsN nanostructure layersWeng, X. / Ye, W. / Goldman, R. S. / Mabon, J. C. et al. | 2004
- 993
-
Influence of plasma treatment on microstructure and thermal stability of barriers for Cu interconnectionTsai, Kou-Chiang / Wu, Wen-Fa / Chen, Jen-Chung / Pan, Te-Jen / Chao, Chuen-Guang et al. | 2004
- 1000
-
Measurements of shallow trench isolation by normal incidence optical critical dimension techniqueHu, Jiangtao / Korlahalli, Rahul / Shivaprasad, Deepak / Yang, Feng / Zhang, Xiaodong et al. | 2004
- 1006
-
Characterization of material contrast and effective wavelength effects in immersion inspectionDeng, Yunfei / Neureuther, Andrew R. et al. | 2004
- 1012
-
Investigation of Ge profile on SiGe islands by scanning photoelectron microscopyCho, M.-H. / Cho, Y. J. / Lee, M. K. / Park, S. A. / Roh, Y. S. / Kim, Y. K. / Jeong, K. / Kang, S. K. / Ko, D.-H. / Shin, H. J. et al. | 2004
- 1017
-
Incorporation efficiency of carbon in GaAs using carbon tetrabromide in solid source molecular beam epitaxySun, Z. Z. / Yoon, S. F. / Tan, K. H. / Zhang, R. / Jiang, J. et al. | 2004
- 1022
-
Conduction and trapping mechanisms in films grown near room temperature by multipolar electron cyclotron resonance plasma enhanced chemical vapor depositionIsai, Gratiela I. / Holleman, Jisk / Wallinga, Hans / Woerlee, Pierre H. et al. | 2004
- 1030
-
Effects of and He on the properties of the trimethyl silane based low-k filmsWidodo, J. / Goh, L. N. / Lu, W. / Mhaisalkar, S. G. / Ong, S. / Sudijono, J. L. / Hsia, L. C. / Tan, P. Y. / Zeng, K. Y. et al. | 2004
- 1037
-
Effect of developer molecular size on roughness of dissolution front in electron-beam resistYamaguchi, T. / Namatsu, H. et al. | 2004
- 1044
-
Characteristics of high electron-mobility transistorsChen, Yen-Wei / Hsu, Wei-Chou / Chen, Yeong-Jia / Hsu, Rong-Tay / Wu, Yue-Huei / Lin, Yu-Shyan et al. | 2004
- 1048
-
Enhanced cold field emission from 100 oriented beta-W nanoemittersSingh, J. P. / Tang, F. / Karabacak, T. / Lu, T.-M. / Wang, G.-C. et al. | 2004
- 1048
-
Enhanced cold field emission from 〈100〉 oriented β–W nanoemittersSingh, J. P. / Tang, F. / Karabacak, T. / Lu, T.-M. / Wang, G.-C. et al. | 2004
- 1052
-
Deep-ultraviolet resist contamination for copper/low-k dual-damascene patterningKumar, R. / Singh, N. / Chang, C. K. / Dong, L. / Wong, T. K. S. et al. | 2004
- 1060
-
Sulfur and low-temperature passivation of self-aligned graded-base InGaAs/InP heterostructure bipolar transistorsJin, Zhi / Prost, W. / Neumann, S. / Tegude, F. J. et al. | 2004
- 1067
-
Alkylation of nanoporous silica thin films by high density plasma chemical vapor deposition ofPan, F. M. / Wu, B. W. / Cho, A. T. / Tsai, K. C. / Tsai, T. G. / Chao, K. J. / Chen, J. Y. / Chang, L. et al. | 2004
- 1075
-
Influence of the preferred orientation and thickness of zirconium nitride films on the diffusion property in copperChen, Cheng-Shi / Liu, Chuan-Pu / Yang, Heng-Ghieh / Tsao, Chi Y. A. et al. | 2004
- 1084
-
Evolution of tungsten-oxide whiskers synthesized by a rapid thermal-annealing treatmentCho, M.-H. / Park, S. A. / Yang, K.-D. / Lyo, I. W. / Jeong, K. / Kang, S. K. / Ko, D.-H. / Kwon, K. W. / Ku, J. H. / Choi, S. Y. et al. | 2004
- 1088
-
Improvement of the morphological stability of Ni-silicided layers by using a molybdenum interlayerOk, Young-Woo / Kim, S.-H. / Song, Y.-J. / Shim, K.-H. / Seong, Tae-Yeon et al. | 2004
- 1094
-
Interface bonding structure of hafnium oxide prepared by direct sputtering of hafnium in oxygenWong, Hei / Ng, K. L. / Zhan, Nian / Poon, M. C. / Kok, C. W. et al. | 2004
- 1101
-
Simulation of field-emitted electron trajectories and transport from carbon nanotubesWalker, D. G. / Zhang, W. / Fisher, T. S. et al. | 2004
- 1108
-
Characterization of electroplated copper films for three-dimensional advanced packagingSeah, C. H. / You, G. Z. / Li, C. Y. / Kumar, R. et al. | 2004
- 1114
-
Electron-beam lithography with aromatic self-assembled monolayers on silicon surfacesKüller, A. / El-Desawy, M. A. / Stadler, V. / Geyer, W. / Eck, W. / Gölzhäuser, A. et al. | 2004
- 1118
-
Fabrication and characterization of a condenser zone plate for compact x-ray microscopyRehbein, Stefan / Holmberg, Anders / Johansson, Göran A. / Jansson, Per A. C. / Hertz, Hans M. et al. | 2004
- 1123
-
Sub-100 nm organic light-emitting diodes patterned with room temperature imprint lithographySuh, Dongchul / Lee, Hong H. et al. | 2004
- 1127
-
Preparation of highly textured Mo and AlN films using a Ti seed layer for integrated high-Q film bulk acoustic resonatorsLee, Hee-Chul / Park, Jae-Young / Lee, Kyung-Hak / Bu, Jong-Uk et al. | 2004
- 1127
-
Preparation of highly textured Mo and AIN films using a Ti seed layer for integrated high-Q film bulk acoustic resonatorsLee, H.-C. / Park, J.-Y. / Lee, K.-H. / Bu, J.-U. et al. | 2004
- 1134
-
Zirconium nitride/silver nanocomposite structures for biomedical applicationsAouadi, S. M. / Debessai, M. / Filip, P. et al. | 2004
- 1141
-
Characterization of resists for a vacuum-compatible photolithography processJacobs, R. N. / Stoltz, A. J. / Dinan, J. H. / Salamanca-Riba, L. et al. | 2004
- 1145
-
Effect of carbon doping on buffer leakage in AlGaN/GaN high electron mobility transistorsPoblenz, C. / Waltereit, P. / Rajan, S. / Heikman, S. / Mishra, U. K. / Speck, J. S. et al. | 2004
- 1150
-
Photomask Cr–MoSi etchingWu, Banqiu et al. | 2004
- 1160
-
Fabrication of three-dimensional microstructures using standard ultraviolet and electron-beam lithographyGalas, J. C. / Belier, B. / Aassime, A. / Palomo, J. / Bouville, D. / Aubert, J. et al. | 2004
- 1163
-
Improved method for measuring photoacid generator kinetics in polymer thin films using normalized interdigitated electrode capacitance dataBerger, Cody M. / Henderson, Clifford L. et al. | 2004
- 1174
-
Composite thin films of for high transmittance attenuated phase shifting mask in ArF optical lithographyLai, Fu-Der et al. | 2004
- 1179
-
Oxide formation during ion bombardment of small silicon structuresKrüger, D. / Formanek, P. / Pippel, E. / Woltersdorf, J. / Bugiel, E. / Kurps, R. / Weidner, G. et al. | 2004
- 1184
-
Advanced techniques for the fabrication of square spiral photonic crystals by glancing angle depositionKennedy, S. R. / Brett, M. J. et al. | 2004
- 1191
-
ZnO-based thin-film transistors of optimal device performanceBae, H. S. / Im, Seongil et al. | 2004
- 1196
-
Method to improve chemical-mechanical-planarization polishing rate of low-k methyl-silsesquiazane for ultralarge scale integrated interconnect applicationChang, T. C. / Tsai, T. M. / Liu, P. T. / Yan, S. T. / Chang, Y. C. / Aoki, H. / Sze, S. M. / Tseng, T. Y. et al. | 2004
- 1202
-
Design of a complementary-metal-oxide-semiconductor-compatible field-emission magnetic sensor with adjustable sensitivityGarner, D. M. / French, P. J. / Hui, G. / Fung, A. et al. | 2004
- 1206
-
Improved quality and reliability of ultrathin (1.4–2.3 nm) gate oxides by radical-assisted oxidation utilizing a remote ultraviolet ozone sourceSong, Young-Joo / Mheen, Bongki / Kim, Sang-Hoon / Bae, Hyun-Chul / Kang, Jin-Young / Lee, Young-Shik / Lee, Nae-Eung / Shim, Kyu-Hwan et al. | 2004
- 1210
-
Rapid repair of plasma ash damage in low-k dielectrics using supercriticalGorman, B. P. / Orozco-Teran, R. A. / Zhang, Z. / Matz, P. D. / Mueller, D. W. / Reidy, R. F. et al. | 2004
- 1213
-
Errata: “Chiral pattern formation: Combined transmission electron microscopy and atomic force microscopy study of tetracyano- quinodimethane thin film grown by vacuum evaporation” [J. Vac. Sci. Technol. B 20, 673 (2002)]Li, J. C. / Liu, W. M. / Xue, Z. Q. et al. | 2004
- 1214
-
Errata: “Investigation of various copper seed layers for copper electrodeposition applicable to ultralarge-scale integration interconnection” [J. Vac. Sci. Technol. B 21, 33 (2003)]Kim, Jae Jeong / Kim, Soo-Kil / Lee, Chang Hwa / Kim, Yong Shik et al. | 2004
- 1218
-
Photoresponse of a p-type Si field emitterMimura, H. / Ukeba, T. / Shimawaki, H. / Yokoo, K. et al. | 2004
- 1222
-
Extraction of emission area from Fowler–Nordheim plotsForbes, Richard G. / Deane, Jonathan H. B. / Hamid, Nabil / Sim, Hwa San et al. | 2004
- 1227
-
Characterization of enhanced field emission from HfC-coated Si emitter arrays through parameter extractionNicolaescu, D. / Sato, T. / Nagao, M. / Filip, V. / Kanemaru, S. / Itoh, J. et al. | 2004
- 1234
-
Analytical model for electron field emission from capped carbon nanotubesFilip, V. / Nicolaescu, D. / Tanemura, M. / Okuyama, F. et al. | 2004
- 1240
-
Theoretical analysis of the enhanced electric field at the triple junctionChung, Moon S. / Yoon, Byung-G. / Cutler, Paul H. / Miskovsky, Nicholasky M. et al. | 2004
- 1244
-
Simulation study of carbon nanotube field emission display with under-gate and planar-gate structuresLan, Yung-Chiang / Lee, Chun-Tao / Hu, Yuan / Chen, Shih-Hung / Lee, Cheng-Chung / Tsui, Bing-Yue / Lin, Tsang-Lang et al. | 2004
- 1250
-
Modeling of emitted current distribution and electron trajectories in the thin-film field-emission triodeGarner, D. M. et al. | 2004
- 1258
-
Remarkably low value of work function on W(100) produced by Y–O composite layerKawakubo, Takashi / Saito, Yasushi / Miyamoto, Nobuo / Nakane, Hideaki / Adachi, Hiroshi et al. | 2004
- 1261
-
Electron emission from carbon black-based field emitters including diesel engine exhaustBusta, H. / Boldridge, D. / Myers, R. / Snider, G. / Korotkov, A. / Edwards, E. / Feinerman, A. et al. | 2004
- 1266
-
Characteristics of nano electron source fabricated using beam assisted processMurakami, Katsuhisa / Takai, Mikio et al. | 2004
- 1269
-
Synthesis and field-emission testing of carbon nanoflake edge emittersWang, Jianjun / Zhu, Mingyao / Zhao, Xin / Outlaw, Ron A. / Manos, Dennis M. / Holloway, Brian C. / Park, Chinho / Anderson, Tim / Mammana, Victor P. et al. | 2004
- 1273
-
Microelectron field emitter array with focus lenses for multielectron beam lithography based on silicon on insulator waferNgoc Minh, Phan / Ono, Takahito / Sato, Nobuyuki / Mimura, Hidenori / Esashi, Masayoshi et al. | 2004
- 1277
-
Quadrupole-mass-spectroscopy studies on hot-filament chemical vapor deposition of carbon films with nanosized constituentsChoi, Seungho / Lee, Soonil / Koh, Ken Ha et al. | 2004
- 1282
-
Growth of aligned nanowire arrays with AAO template and their field-emission propertiesWu, Q. B. / Ren, S. / Deng, S. Z. / Chen, J. / Xu, N. S. et al. | 2004
- 1286
-
Carbon nanotube growth from Cu–Co alloys for field emission applicationsHofmeister, W. / Kang, W. P. / Wong, Y. M. / Davidson, J. L. et al. | 2004
- 1290
-
Formation of graphite nanocones using metal nanoparticles as plasma etching masksPark, Hyoungjoon / Choi, Seungho / Lee, Soonil / Koh, Ken Ha et al. | 2004
- 1294
-
Fabrication of low-gate-current triode field emitters with planar carbon nanoparticle cathodesSeo, Woo Jong / Choi, Seungho / Lee, Soonil / Koh, Ken Ha et al. | 2004
- 1298
-
Tungsten pedestal structure for nanotriode devicesBlackburn, A. M. / Hasko, D. G. / Ahmed, H. / Williams, D. A. et al. | 2004
- 1303
-
Double-gate field emitters with planar carbon-nanoparticle cathodes: Simulation studiesBae, Sungil / Seo, Woo Jong / Choi, Seungho / Lee, Soonil / Koh, Ken Ha et al. | 2004
- 1308
-
Synthesis of very dense carbon nanotube bundles using silica supported metal catalystYim, Jong Hyuk / Choi, Seungho / Lee, Soonil / Koh, Ken Ha et al. | 2004
- 1312
-
Interference fringes observed in electron emission patterns of a multiwalled carbon nanotubeHata, Koichi / Takakura, Akihiro / Miura, Kenji / Ohshita, Akinori / Saito, Yahachi et al. | 2004
- 1315
-
Field emission from carbon nanotube MatZhao, W. J. / Rochanachivapar, W. / Takai, M. et al. | 2004
- 1319
-
Competition of nitrogen doping and graphitization effect for field electron emission from nanocrystalline diamond filmsKarabutov, A. V. / Konov, V. I. / Pereverzev, V. G. / Vlasov, I. I. / Zavedeev, E. V. / Pimenov, S. M. / Loubnin, E. N. et al. | 2004
- 1327
-
Electron emission from heavily nitrogen-doped heteroepitaxial chemical vapor deposition diamondOkano, Ken / Mine, Takuya / Saito, Ichitaro / Yamaguchi, Hisato / Yamada, Takatoshi / Sawabe, Atsuhito et al. | 2004
- 1331
-
Efficient electron emissions from printed carbon nanotubes by surface treatmentsKim, Kwang-Bok / Song, Yoon-Ho / Hwang, Chi-Sun / Chung, Chung-Hui / Lee, Jin-Ho / Choi, In-Su / Park, Jae-Hong et al. | 2004
- 1335
-
Fabrication of carbon nanotube array and its field emission propertySato, Hideki / Takegawa, Hitoshi / Yamaji, Hiroki / Miyake, Hideto / Hiramatsu, Kazumasa / Saito, Yahachi et al. | 2004
- 1338
-
Field-emission characteristics from carbon nanotube field emitter arrays grown on silicon emittersYoshimoto, Tomomi / Kamimaru, Dai / Iwasaki, Hideo / Iwata, Tatsuo / Matsumoto, Kazuhiko et al. | 2004
- 1342
-
Improvement in electron emission from carbon nanotube cathodes after Ar plasma treatmentKanazawa, Yasunori / Oyama, Takeshi / Murakami, Katsuhisa / Takai, Mikio et al. | 2004
- 1345
-
Relationship between field emission property and composition of carbon nanotube paste for large area cold cathodeKang, Sung Kee / Choi, Jong Hyung / Park, Jae Hong / Han, Jae-Hee / Yoo, Ji-Beom / Nam, Joong-Woo / Lee, Chun Kyu / Kim, J. M. et al. | 2004
- 1349
-
Schottky emitter using boron-doped diamondBae, Joon Hyung / Minh, Phan Ngoc / Ono, Takahito / Esashi, Masayoshi et al. | 2004
- 1353
-
Field emission characteristics of defect-controlled polyimide tunneling cathodeBaba, Akiyoshi / Yoshida, Tomoya / Asano, Tanemasa et al. | 2004