Analysis and modeling of the high vacuum scanning spreading resistance microscopy nanocontact on silicon (Englisch)
- Neue Suche nach: Eyben, P.
- Neue Suche nach: Clemente, F.
- Neue Suche nach: Vanstreels, K.
- Neue Suche nach: Pourtois, G.
- Neue Suche nach: Clarysse, T.
- Neue Suche nach: Duriau, E.
- Neue Suche nach: Hantschel, T.
- Neue Suche nach: Sankaran, K.
- Neue Suche nach: Mody, J.
- Neue Suche nach: Vandervorst, W.
- Neue Suche nach: Eyben, P.
- Neue Suche nach: Clemente, F.
- Neue Suche nach: Vanstreels, K.
- Neue Suche nach: Pourtois, G.
- Neue Suche nach: Clarysse, T.
- Neue Suche nach: Duriau, E.
- Neue Suche nach: Hantschel, T.
- Neue Suche nach: Sankaran, K.
- Neue Suche nach: Mody, J.
- Neue Suche nach: Vandervorst, W.
In:
JOURNAL OF VACUUM SCIENCE AND TECHNOLOGY B MICROELECTRONICS AND NANOMETER STRUCTURES
;
28
;
401-406
;
2010
-
ISSN:
- Aufsatz (Zeitschrift) / Print
-
Titel:Analysis and modeling of the high vacuum scanning spreading resistance microscopy nanocontact on silicon
-
Beteiligte:Eyben, P. ( Autor:in ) / Clemente, F. ( Autor:in ) / Vanstreels, K. ( Autor:in ) / Pourtois, G. ( Autor:in ) / Clarysse, T. ( Autor:in ) / Duriau, E. ( Autor:in ) / Hantschel, T. ( Autor:in ) / Sankaran, K. ( Autor:in ) / Mody, J. ( Autor:in ) / Vandervorst, W. ( Autor:in )
-
Erschienen in:
-
Verlag:
- Neue Suche nach: American Vacuum Society
-
Erscheinungsdatum:01.01.2010
-
Format / Umfang:6 pages
-
ISSN:
-
Medientyp:Aufsatz (Zeitschrift)
-
Format:Print
-
Sprache:Englisch
- Neue Suche nach: 621.55
- Weitere Informationen zu Dewey Decimal Classification
-
Klassifikation:
DDC: 621.55 -
Datenquelle:
© Metadata Copyright the British Library Board and other contributors. All rights reserved.
Inhaltsverzeichnis – Band 28
Zeige alle Jahrgänge und Ausgaben
Die Inhaltsverzeichnisse werden automatisch erzeugt und basieren auf den im Index des TIB-Portals verfügbaren Einzelnachweisen der enthaltenen Beiträge. Die Anzeige der Inhaltsverzeichnisse kann daher unvollständig oder lückenhaft sein.
- 1
-
Low-dimensional oxide nanostructures on metals: Hybrid systems with novel propertiesNetzer, F.P. / Allegretti, F. / Surnev, S. et al. | 2010
- 17
-
Improvement of Ohmic contacts to In~0~.~6~5Ga~0~.~3~5Sb using Mo refractory metal and surface preparation for 6.3 A heterojunction bipolar transistorsMairiaux, E. / Desplanque, L. / Wallart, X. / Zaknoune, M. et al. | 2010
- 17
-
Improvement of Ohmic contacts to In0.65Ga0.35Sb using Mo refractory metal and surface preparation for 6.3 Angstroem heterojunction bipolar transistorsMairiaux, E. / Desplanque, L. / Wallart, X. / Zaknoune, M. et al. | 2010
- 21
-
Integration, gap formation, and sharpening of III-V heterostructure nanowires by selective etchingKallesoe, C. / Molhave, K. / Larsen, K.F. / Engstrom, D. / Hansen, T.M. / Boggild, P. / Martensson, T. / Borgstrom, M. / Samuelson, L. et al. | 2010
- 27
-
Effect of neutron irradiation on electrical and optical properties of InGaN/GaN light-emitting diodesKim, H.-Y. / Kim, J. / Ren, F. / Jang, S. et al. | 2010
- C3B17
-
Broadening of intersubband transitions in InGaN/AlInN multiquantum wellsCywinski, G. / Gladysiewicz, M. / Kudrawiec, R. / Krysko, M. / Feduniewicz-Zmuda, A. / Siekacz, M. / Sawicka, M. / Wolny, P. / Smaic-Koziorowska, J. / Nevou, L. et al. | 2010
- 30
-
Step-width adjustment in fabrication of staircase structuresLi, P. / Lee, S.-Y. / Jeon, S.C. / Kim, J.S. / Kim, K.N. / Hyun, M.S. / Yoo, J.J. / Kim, J.W. et al. | 2010
- 36
-
Fully automated hot embossing processes utilizing high resolution working stampsGlinsner, T. / Veres, T. / Kreindl, G. / Roy, E. / Morton, K. / Wieser, T. / Thanner, C. / Treiblmayr, D. / Miller, R. / Lindner, P. et al. | 2010
- 42
-
Graphoepitaxy of block copolymers using selectively removable templatesIlievski, F. / Ross, C.A. et al. | 2010
- 45
-
Effect of process related and haze defects on 193 nm immersion lithographyTay, C.J. / Quan, C. / Ling, M.L. / Lin, Q. / Chua, G.S. et al. | 2010
- 52
-
Passivation of AlN/GaN high electron mobility transistor using ozone treatmentLo, C.F. / Chang, C.Y. / Pearton, S.J. / Kravchenko, I.I. / Dabiran, A.M. / Wowchak, A.M. / Cui, B. / Chow, P.P. / Ren, F. et al. | 2010
- 56
-
Advanced in situ pre-Ni silicide (Siconi) cleaning at 65 nm to resolve defects in NiSi~x modulesYang, R. / Su, N. / Bonfanti, P. / Nie, J. / Ning, J. / Li, T.T. et al. | 2010
- 62
-
Metastable structure and magnetism of Cr-doped AlN in AlN/TiN multilayersZeng, F. / Fan, B. / Yang, Y.C. / Yang, P.Y. / Luo, J.T. / Chen, C. / Pan, F. / Yan, W.S. et al. | 2010
- 66
-
Optimization of layer structure supporting long range surface plasmons for surface plasmon-enhanced fluorescence spectroscopy biosensorsHuang, C.J. / Dostalek, J. / Knoll, W. et al. | 2010
- 73
-
Analysis and metrology with a focused helium ion beamSijbrandij, S. / Notte, J. / Scipioni, L. / Huynh, C. / Sanford, C. et al. | 2010
- 78
-
Direct thermal-UV nanoimprint of an iron-containing organometallic hybrid filmHan, H. / Bhushan, A. / Yaghmaie, F. / Davis, C.E. et al. | 2010
- 82
-
Sub-200 nm gap electrodes by soft UV nanoimprint lithography using polydimethylsiloxane mold without external pressureHamouda, F. / Barbillon, G. / Gaucher, F. / Bartenlian, B. et al. | 2010
- 86
-
Making high-fidelity imprint template by resist patterns over a flexible conductive polymer substrateYe, X. / Ding, Y. / Duan, Y. / Liu, H. / Shao, J. et al. | 2010
- 90
-
Spin-coatable HfO~2 resist for optical and electron beam lithographiesSaifullah, M.S.M. / Khan, M.Z.R. / Hasko, D.G. / Leong, E.S.P. / Neo, X.L. / Goh, E.T.L. / Anderson, D. / Jones, G.A.C. / Welland, M.E. et al. | 2010
- 96
-
640x480 pixel active-matrix Spindt-type field emitter array image sensor with high-gain avalanche rushing amorphous photoconductor targetNanba, M. / Takiguchi, Y. / Honda, Y. / Hirano, Y. / Watabe, T. / Egami, N. / Miya, K. / Nakamura, K. / Taniguchi, M. / Itoh, S. et al. | 2010
- 104
-
Roller-reversal imprint process for preparation of large-area microstructuresLiu, H. / Jiang, W. / Ding, Y. / Shi, Y. / Yin, L. et al. | 2010
- 110
-
Microstructures and magnetic properties of amorphous Tb~xCo~1~-~x films deposited by facing target magnetron-sputtering system with divergent magnetic fieldKim, T. / Lee, H.-Y. / Lee, K. / Hwang, J.Y. et al. | 2010
- 116
-
Improvement in bias stability of amorphous-InGaZnO~4 thin film transistors with SiO~x passivation layersLim, W. / Douglas, E.A. / Norton, D.P. / Pearton, S.J. / Ren, F. / Heo, Y.-W. / Son, S.Y. / Yuh, J.H. et al. | 2010
- 120
-
Infinite etch selectivity and line edge roughness variation during etching of silicon oxynitride with an extreme ultraviolet resist pattern in dual-frequency CH~2F~2/H~2/Ar capacitively coupled plasmasKwon, B.S. / Kim, J.S. / Lee, N.-E. / Lee, S.K. et al. | 2010
- 128
-
Four beams surface plasmon interference nanoscale lithography for patterning of two-dimensional periodic featuresSreekanth, K.V. / Murukeshan, V.M. et al. | 2010
- 131
-
Ultrahigh selective etching of Si~3N~4 films over SiO~2 films for silicon nitride gate spacer etchingLee, S. / Oh, J. / Lee, K. / Sohn, H. et al. | 2010
- 136
-
Room-temperature capillary-imprint lithography for making micro-/nanostructures in large areasYe, X. / Ding, Y. / Duan, Y. / Liu, H. / Lu, B. et al. | 2010
- 143
-
Parameter study for silicon grass formation in Bosch processJung, K. / Song, W. / Lim, H.W. / Lee, C.S. et al. | 2010
- 149
-
Patterning of porous SiOCH using an organic mask: Comparison with a metallic masking strategyDarnon, M. / Chevolleau, T. / David, T. / Ducote, J. / Posseme, N. / Bouyssou, R. / Bailly, F. / Perret, D. / Joubert, O. et al. | 2010
- 157
-
GaAs/AlOx micropillar fabrication for small mode volume photon sourcesChoi, J.M. / Silverman, K.L. / Stevens, M.J. / Harvey, T.L. / Mirin, R.P. et al. | 2010
- 163
-
Characterization and analysis of silicon on insulator fabricated by separation by implanted oxygen layer transferWei, X. / Wu, A. / Wang, X. / Li, X. / Ye, F. / Chen, J. / Chen, M. / Zhang, B. / Li, C. / Zhang, M. et al. | 2010
- 169
-
Stenciled conducting bismuth nanowiresSavu, V. / Neuser, S. / Villanueva, G. / Vazquez-Mena, O. / Sidler, K. / Brugger, J. et al. | 2010
- 173
-
Nanoindentation study of thin plasma enhanced chemical vapor deposition SiCOH low-k films modified in He/H~2 downstream plasmaVanstreels, K. / Urbanowicz, A.M. et al. | 2010
- 180
-
Surface topography and physicochemistry of silver containing titanium nitride nanocomposite coatingsWhitehead, K. / Kelly, P. / Li, H. / Verran, J. et al. | 2010
- 188
-
Effects of silver deposition on 405 nm light-driven zinc oxide photocatalystSeki, S. / Sekizawa, T. / Haga, K. / Sato, T. / Takeda, M. / Seki, Y. / Sawada, Y. / Yubuta, K. / Shishido, T. et al. | 2010
- 194
-
Fabrication of large area ultrathin silicon membrane: Application for high efficiency extreme ultraviolet diffraction gratingsConstancias, C. / Dalzotto, B. / Michallon, P. / Wallace, J. / Saib, M. et al. | 2010
- 198
-
Magnetic soft x-ray imaging of vortex core dynamicsMesler, B.L. / Buchanan, K. / Im, M.-Y. / Anderson, E. / Fischer, P. et al. | 2010
- 202
-
Scratch properties of nickel thin films using atomic force microscopyTseng, A.A. / Shirakashi, J.-i. / Jou, S. / Huang, J.-C. / Chen, T.P. et al. | 2010
- 211
-
Indium oxide thin film transistors fabricated by low-energetic ion bombardment technique at room temperatureChu, A.K. / Hong, T.I. / Tien, W.C. et al. | 2010
- 216
-
``Characterization of focused-ion-beam induced defect structures in graphite for the future guided self-assembly of molecules'' [J. Vac. Sci. Technol. B 27, 2209 (2009)]O Donnell, S.E. / Reinke, P. et al. | 2010
- 223
-
Phase change memory technologyBurr, G.W. / Breitwisch, M.J. / Franceschini, M. / Garetto, D. / Gopalakrishnan, K. / Jackson, B. / Kurdi, B. / Lam, C. / Lastras, L.A. / Padilla, A. et al. | 2010
- 241
-
Photoreflectance characterization of ultrashallow junction activation in millisecond annealingChism, Will / Current, Michael / Vartanian, Victor et al. | 2010
-
Review of electrical characterization of ultra-shallow junctions with micro four-point probesPetersen, D.H. / Hansen, O. / Hansen, T.M. / Boggild, P. / Lin, R. / Kjaer, D. / Nielsen, P.F. / Clarysse, T. / Vandervorst, W. / Rosseel, E. et al. | 2010
- 263
-
Nanosmoothing of single crystal diamond chips by 1 keV Ar^+ ion bombardmentNagase, T. / Kato, H. / Pahlovy, S.A. / Miyamoto, I. et al. | 2010
- 268
-
Flexible field emitter arrays with adjustable carbon nanotube distances and bundle generationYilmazoglu, O. / Popp, A. / Pavlidis, D. / Schneider, J.J. et al. | 2010
- 273
-
Early stages of direct L1~0 FePt nanocluster formation: The effects of plasma characteristicsPatterson, M.M. / Cochran, A. / Ferina, J. / Rui, X. / Zimmerman, T.A. / Sun, Z. / Kramer, M.J. / Sellmyer, D.J. / Shield, J.E. et al. | 2010
- 277
-
Study of the influence of indium segregation on the optical properties of InGaAs/GaAs quantum wells via split-operator methodMartini, S. / Manzoli, J.E. / Quivy, A.A. et al. | 2010
- 284
-
Influence of C~4F~8/Ar-based etching and H~2-based remote plasma ashing processes on ultralow k materials modificationsKuo, M.-S. / Hua, X. / Oehrlein, G.S. / Ali, A. / Jiang, P. / Lazzeri, P. / Anderle, M. et al. | 2010
- 295
-
Structural, electrical, and optical characterizations of laser deposited nanometric iron oxide filmsCaricato, A.P. / Gorbachuk, N.T. / Korduban, A.M. / Leggieri, G. / Luches, A. / Mengucci, P. / Mulenko, S.A. / Valerini, D. et al. | 2010
- 301
-
Woodpile photonic crystal fabricated in GaAs by two-directional etching methodTang, L. / Yoshie, T. et al. | 2010
- 304
-
Large area direct-write focused ion-beam lithography with a dual-beam microscopeImre, A. / Ocola, L.E. / Rich, L. / Klingfus, J. et al. | 2010
-
Ultralow energy boron implants in silicon characterization by nonoxidizing secondary ion mass spectrometry analysis and soft x-ray grazing incidence x-ray fluorescence techniquesGiubertoni, D. / Iacob, E. / Hoenicke, P. / Beckhoff, B. / Pepponi, G. / Gennaro, S. / Bersani, M. et al. | 2010
- 310
-
Selective growth of tungsten oxide nanowires via a vapor-solid processZhang, H. / Xu, T.T. / Tang, M. / Her, T.-h. / Li, S.-y. et al. | 2010
- 316
-
Suspended slab and photonic crystal waveguides in lithium niobateSi, G. / Teo, E.J. / Bettiol, A.A. / Teng, J. / Danner, A.J. et al. | 2010
- 321
-
Effect of carbon contamination on the printing performance of extreme ultraviolet masksFan, Y.-J. / Yankulin, L. / Antohe, A. / Thomas, P. / Mbanaso, C. / Garg, R. / Wang, Y. / Wuest, A. / Goodwin, F. / Huh, S. et al. | 2010
- 329
-
General theoretical model for the vapor-phase growth and growth rate of semiconductor nanowiresMohammad, S.N. et al. | 2010
- 353
-
Investigation of Cu-Al surface alloy formation on Cu substrateSartale, S.D. / Yoshitake, M. et al. | 2010
- 359
-
Lateral current injection photonic crystal membrane light emitting diodesLong, C.M. / Giannopoulos, A.V. / Choquette, K.D. et al. | 2010
- 365
-
Degradation of 150 nm mushroom gate InAlAs/InGaAs metamorphic high electron mobility transistors during dc stressing and thermal storageChen, K.H. / Chang, C.Y. / Leu, L.C. / Lo, C.F. / Chu, B.H. / Pearton, S.J. / Ren, F. et al. | 2010
- 371
-
Two step optimized process for scanning tunneling microscopy tip fabricationBastiman, F. / Cullis, A.G. / Hopkinson, M. / Briston, K.J. et al. | 2010
- 376
-
Oxygen gas sensing at low temperature using indium zinc oxide-gated AlGaN/GaN high electron mobility transistorsWang, Y.-L. / Chang, C.Y. / Lim, W. / Pearton, S.J. / Norton, D.P. / Chu, B.H. / Lo, C.F. / Ren, F. / Johnson, J.W. / Rajagopal, P. et al. | 2010
- 380
-
Precision laser micromachining of trenches in GaN on sapphireMak, G.Y. / Lam, E.Y. / Choi, H.W. et al. | 2010
- 386
-
Optical-absorption spectra of single-layer graphene in a periodic magnetic fieldChiu, Y.H. / Ou, Y.C. / Liao, Y.Y. / Lin, M.F. et al. | 2010
- 391
-
Shallow trench isolation stress modification by optimal shallow trench isolation process for sub-65-nm low power complementary metal oxide semiconductor technologyHu, C.-Y. / Chen, J.F. / Chen, S.-C. / Chang, S.-J. / Wang, S.-M. / Lee, C.-P. / Lee, K.-M. et al. | 2010
- 398
-
Fabrication of two-dimensional polymer photonic crystals by nanoimprinting using anodic porous alumina moldYanagishita, T. / Nishio, K. / Masuda, H. et al. | 2010
- 401
-
Analysis and modeling of the high vacuum scanning spreading resistance microscopy nanocontact on siliconEyben, P. / Clemente, F. / Vanstreels, K. / Pourtois, G. / Clarysse, T. / Duriau, E. / Hantschel, T. / Sankaran, K. / Mody, J. / Vandervorst, W. et al. | 2010
- 407
-
Nanoscale surface modification of La~0~.~7Sr~0~.~3MnO~3 thin filmsLiu, Y. / Monsen, A.F. / Boschker, J.E. / Wahlstrom, E. / Borg, A. / Tybell, T. et al. | 2010
- 411
-
Effect of high substrate bias and hydrogen and nitrogen incorporation on density of states and field-emission threshold in tetrahedral amorphous carbon filmsPanwar, O.S. / Khan, M.A. / Satyanarayana, B.S. / Bhattacharyya, R. / Mehta, B.R. / Kumar, S. / Ishpal et al. | 2010
- 423
-
Photo- and thermionic emission from potassium-intercalated carbon nanotube arraysWestover, T.L. / Franklin, A.D. / Cola, B.A. / Fisher, T.S. / Reifenberger, R.G. et al. | 2010
- 441
-
Method to obtain nonuniformity information from field emission behaviorDall Agnol, F.F. / de Paulo, A.C. / Paredez, P. / Engelsen, D.d. / Santos, T.E.A. / Mammana, V.P. et al. | 2010
- 450
-
Effect of energetic ions on plasma damage of porous SiCOH low-k materialsKunnen, E. / Baklanov, M.R. / Franquet, A. / Shamiryan, D. / Rakhimova, T.V. / Urbanowicz, A.M. / Struyf, H. / Boullart, W. et al. | 2010
- 460
-
Effects of the size of silicon grain on the gate-leakage current in nanocrystalline silicon thin-film transistorsMao, L.-F. et al. | 2010
- 466
-
n-type InP Schottky diodes with organic thin layer: Electrical and interfacial propertiesGullu, O. / Turut, A. et al. | 2010
- 473
-
Effects of Al~xGa~1~-~xN interlayer for GaN epilayer grown on Si substrate by metal-organic chemical-vapor depositionLin, K.-L. / Chang, E.-Y. / Hsiao, Y.-L. / Huang, W.-C. / Luong, T.-T. / Wong, Y.-Y. / Li, T. / Tweet, D. / Chiang, C.-H. et al. | 2010
- 478
-
Mn-induced growth of InAs nanowiresJabeen, F. / Piccin, M. / Felisari, L. / Grillo, V. / Bais, G. / Rubini, S. / Martelli, F. / d Acapito, F. / Rovezzi, M. / Boscherini, F. et al. | 2010
- 484
-
Direct transfer of gold nanoislands from a MoS~2 stamp to a Si-H surfaceDeng, J. / Troadec, C. / Hui, H.K. / Joachim, C. et al. | 2010
- 490
-
Cathodoluminescent properties of nanocrystalline Lu~3Ga~5O~1~2:Tb^3^+ phosphor for field emission display applicationXu, X.G. / Chen, J. / Deng, S.Z. / Xu, N.S. / Lin, J. et al. | 2010
- 495
-
Cesium and oxygen activated amorphous silicon germanium photocathodes for photoinjectorsMulhollan, G.A. / Bierman, J.C. et al. | 2010
- 500
-
Effects of focused MeV ion beam irradiation on the roughness of electrochemically micromachined silicon surfacesOw, Y.S. / Azimi, S. / Breese, M.B.H. / Teo, E.J. / Mangaiyarkarasi, D. et al. | 2010
- 506
-
Field emission from N-doped diamond doped with dimethylureaKudo, Y. / Sato, Y. / Masuzawa, T. / Yamada, T. / Saito, I. / Yoshino, T. / Chun, W.J. / Yamasaki, S. / Okano, K. et al. | 2010
- 511
-
Secondary ion mass spectrometry characterization of anomalous behavior for low dose ion implanted phosphorus in siliconPenley, C. / Stevie, F.A. / Griffis, D.P. / Siebel, S. / Kulig, L. / Lee, J. et al. | 2010
- 517
-
Mechanism of ultrathin tunnel barrier failure due to mechanical-stress-induced nanosized hillocks and voidsTyagi, P. / Hinds, B.J. et al. | 2010
- 522
-
Aligned dense single-walled carbon nanotube beams and cantilevers for nanoelectromechanical systems applicationsLu, M. / Jang, M.-W. / Campbell, S.A. / Cui, T. et al. | 2010
- 527
-
Atomic radical abatement of organic impurities from electron beam deposited metallic structuresWnuk, J.D. / Gorham, J.M. / Rosenberg, S.G. / Madey, T.E. / Hagen, C.W. / Fairbrother, D.H. et al. | 2010
- 538
-
Parallel fabrication of monolithic nanoscopic tunnel junctions for molecular devicesGupta, R. / Willis, B.G. et al. | 2010
- 545
-
X-ray photoelectron spectroscopy investigation of oxidation states in molybdenum thin films for Cu(InGa)Se~2 applicationsKang, Y.C. / Khanal, R. / Park, J.Y. / Ramsier, R.D. / Khatri, H. / Marsillac, S. et al. | 2010
- 549
-
Fabrication of complex three-dimensional nanostructures using focused ion beam and nanomanipulationJeon, J. / Floresca, H.C. / Kim, M.J. et al. | 2010
- 554
-
Depth profile analysis of helium in silicon with high-resolution elastic recoil detection analysisTomita, M. / Akutsu, H. / Oshima, Y. / Sato, N. / Mure, S. / Fukuyama, H. / Ichihara, C. et al. | 2010
- 558
-
Fabrication of gated CuO nanowire field emitter arrays for application in field emission displayZhan, R.Z. / Chen, J. / Deng, S.Z. / Xu, N.S. et al. | 2010
- 562
-
Nanoscale field emission in inert gas under atmospheric pressureQian, L. / Wang, Y. / Liu, L. / Fan, S. et al. | 2010
- 567
-
Effect of copper barrier dielectric deposition process on characterization of copper interconnectCheng, Y.-L. / Chiu, T.-J. / Wei, B.-J. / Wang, H.-J. / Wu, J. / Wang, Y.-L. et al. | 2010
- 573
-
Electrical and reliability performances of nitrogen-incorporated silicon carbide dielectric by chemical vapor depositionCheng, Y.-L. / Chen, S.-A. / Chiu, T.-J. / Wu, J. / Wei, B.-J. / Chang, H.-J. et al. | 2010
- 577
-
Effect of iodotrifluoromethane plasma for reducing ultraviolet light irradiation damage in dielectric film etching processesIchihashi, Y. / Ishikawa, Y. / Shimizu, R. / Samukawa, S. et al. | 2010
- 581
-
Electron-beam exposure mechanisms in hydrogen silsesquioxane investigated by vibrational spectroscopy and in situ electron-beam-induced desorptionOlynick, D.L. / Cord, B. / Schipotinin, A. / Ogletree, D.F. / Schuck, P.J. et al. | 2010
- 588
-
Damage engineering of boron-based low energy ion implantations on ultrashallow junction fabricationsQin, S. / Hu, Y.J. / McTeer, A. et al. | 2010
- 595
-
Comprehensive study of focused ion beam induced lateral damage in silicon by scanning probe microscopy techniquesRommel, M. / Spoldi, G. / Yanev, V. / Beuer, S. / Amon, B. / Jambreck, J. / Petersen, S. / Bauer, A.J. / Frey, L. et al. | 2010
- 608
-
Neutron transmutation doping effects in GaNPolyakov, A.Y. / Smirnov, N.B. / Govorkov, A.V. / Kolin, N.G. / Merkurisov, D.I. / Boiko, V.M. / Korulin, A.V. / Pearton, S.J. et al. | 2010
- 613
-
ZnCdSe nanowires grown by molecular beam epitaxyLan, B.W. / Hsiao, C.H. / Hung, S.C. / Chang, S.J. / Young, S.J. / Cheng, Y.C. / Chih, S.H. / Huang, B.R. et al. | 2010
- 617
-
Submicron organic nanofiber devices with different anode-cathode materials: A simple approachHenrichsen, H. / Sturm, H. / Boggild, P. / Hansen, O. et al. | 2010
- 623
-
Correlation between structural and optical properties of a-plane GaN films grown on r-plane sapphire by metal organic chemical-vapor depositionJung, M. / Chang, J. / Lee, H. / Ha, J.-s. / Park, J.-s. / Park, S. / Fujii, K. / Yao, T. / Kil, G.-s. / Lee, S. et al. | 2010
- 627
-
Simulation of self-focusing electron emitterYuan, G. / Jiang, J. / Li, C. / Liu, W. / Mimura, H. et al. | 2010
- 631
-
Single-crystal gold tip for tip-enhanced Raman spectroscopyRoy, D. / Williams, C.M. / Mingard, K. et al. | 2010
- 635
-
Removing GaAs substrate by nitric acid solutionLi, C.C. / Guan, B.L. / Chuai, D.X. / Guo, X. / Shen, G.D. et al. | 2010
- 638
-
Fabrication of regular arrays of gold nanospheres by thermal transformation of electroless-plated filmsAhn, W. / Blake, P. / Shultz, J. / Ware, M.E. / Roper, D.K. et al. | 2010
- 643
-
Resurrecting dirty atomic force microscopy calibration standardsChernoff, D.A. / Sherman, R. et al. | 2010
- 673
-
Continuous cell air-extracting technique used for fast cell filling of flexible liquid-crystal displaysWu, W.-T. / Chang, C.-J. / Cheng, H.-E. / Hsu, C.-M. / Tsen, K.-S. et al. | 2010
- 678
-
Semiconductor-superconductor transition and magnetoresistance terraces in an ultrathin superconducting Pb nanobridgeWang, J. / Jia, J.-F. / Ma, X.-C. / Shen, Q.-T. / Han, T.-Z. / Jin, A.-Z. / Lu, L. / Gu, C.-Z. / Tian, M.-L. / Xie, X.C. et al. | 2010
- 682
-
Multistage etching process for microscopically smooth tellurite glass surfaces in optical fibersZhang, A. / Lin, A. / Wang, J.-S. / Toulouse, J. et al. | 2010
- 687
-
Novel split-tip proximal probe for fabrication of nanometer-textured, in-plane oriented polymer filmsClark, B. / Taylor, M.P. / Hallen, H.D. et al. | 2010
- 693
-
Simulations of radical and ion fluxes on a wafer in a Cl~2/Ar inductively coupled plasma discharge: Confrontation with GaAs and GaN etch experimentsDespiau-Pujo, E. / Chabert, P. / Bansropun, S. / Thenot, D. / Plouhinec, P. / Cassette, S. et al. | 2010
- 702
-
Extension of active region in crossbar-type polymer solar photovoltaics induced by highly conductive PEDOT:PSS buffer layerHuang, T.-S. / Huang, C.-Y. / Su, Y.-K. / Chen, Y.-C. / Fang, J.-S. / Wen, T.-C. et al. | 2010
- 706
-
Atomic diffusion bonding of wafers with thin nanocrystalline metal filmsShimatsu, T. / Uomoto, M. et al. | 2010
- 715
-
Conformal electroless filling of Cu into patterned amorphous carbon layer modified by oxygen plasma and aminosilane treatmentsKim, H.W. / Lee, N.-E. et al. | 2010
- 720
-
Effect of rapid thermal annealing on Mg~xZn~1~-~xO films prepared by radio-frequency magnetron sputteringHsueh, K.-P. / Tun, C.-J. / Chiu, H.-C. / Huang, Y.-P. / Chi, G.-C. et al. | 2010
- 724
-
Carbon nanotube field emitter irradiated by proton beamLee, S.-M. / Kim, W.-J. / Kim, Y.-H. / Yang, T. / Han, J. et al. | 2010
- 729
-
Fabrication of surface plasmon waveguides and devices in Cytop with integrated microfluidic channelsChiu, C. / Lisicka-Skrzek, E. / Tait, R.N. / Berini, P. et al. | 2010
- 736
-
Field emission behavior study of multiwalled carbon nanotube yarn under the influence of adsorbentsLiu, P. / Jiang, K. / Wei, Y. / Liu, K. / Liu, L. / Fan, S. et al. | 2010
- 740
-
Newly developed electron beam stepper for nanoimprint mold fabricationOkada, M. / Kishiro, T. / Yanagihara, K. / Ataka, M. / Anazawa, N. / Matsui, S. et al. | 2010
- 744
-
Improving the metallic content of focused electron beam-induced deposits by a scanning electron microscope integrated hydrogen-argon microplasma generatorMiyazoe, H. / Utke, I. / Kikuchi, H. / Kiriu, S. / Friedli, V. / Michler, J. / Terashima, K. et al. | 2010
- 751
-
Molecular structure effects on dry etching behavior of Si-containing resists in oxygen plasmaBruce, R.L. / Lin, T. / Phaneuf, R.J. / Oehrlein, G.S. / Bell, W. / Long, B. / Willson, C.G. et al. | 2010
- 758
-
Numerical testing of the Fowler-Nordheim equation for the electronic field emission from a flat metal and proposition for an improved equationMayer, A. et al. | 2010
- 763
-
Fabrication of nanowires with high aspect ratios utilized by dry etching with SF~6:C~4F~8 and self-limiting thermal oxidation on Si substratePark, S.-Y. / Di Giacomo, S.J. / Anisha, R. / Berger, P.R. / Thompson, P.E. / Adesida, I. et al. | 2010
- 769
-
Germanium surface hydrophilicity and low-temperature Ge layer transfer by Ge-SiO~2 bondingMa, X. / Liu, W. / Du, X. / Liu, X. / Song, Z. / Lin, C. / Chu, P.K. et al. | 2010
- 775
-
Novel technique for formation of metal lines by functional liquid containing metal nanoparticles and reduction of their resistivity by hydrogen treatmentKieu, N.T.T. / Ohdaira, K. / Shimoda, T. / Matsumura, H. et al. | 2010
- 783
-
Dual exposure, two-photon, conformal phase mask lithography for three dimensional silicon inverse woodpile photonic crystalsShir, D.J. / Nelson, E.C. / Chanda, D. / Brzezinski, A. / Braun, P.V. / Rogers, J.A. / Wiltzius, P. et al. | 2010
- 789
-
Impact of metal etch residues on etch species density and uniformityDictus, D. / Shamiryan, D. / Paraschiv, V. / Boullart, W. / De Gendt, S. / Vinckier, C. et al. | 2010
- 795
-
Effects of argon ion bombardment on the structure and magnetic properties of ultrathin Fe filmsRamaswamy, S. / Gopalakrishnan, C. / Ganesh, K.R. / Jeganathan, K. / Ponnavaikko, M. et al. | 2010
- 799
-
Effective mobility characteristics of platinum-silicided p-type Schottky barrier metal-oxide-semiconductor field-effect transistorJang, M. / Jun, M. / Zyung, T. et al. | 2010
- 802
-
In situ direct visualization of irradiated electron-beam patterns on unprocessed resists using atomic force microscopyKoop, H. / Zech, M. / Karrai, K. / Schnurbusch, D. / Muller, M. / Grundl, T. / Amann, M.-C. / Holleitner, A.W. et al. | 2010
- 806
-
Novel planarizing scheme for patterned mediaPoh, W.C.A. / Tan, H.K. / Ong, L.T. / Hui, H.K. / Wong, S.K. / Aung, K.O. / Tan, E. / Sbiaa, R. / Kay, Y.S. / Piramanayagam, S.N. et al. | 2010
- 809
-
Residue growth on metallic-hard mask after dielectric etching in fluorocarbon-based plasmas. I. MechanismsPosseme, N. / Chevolleau, T. / Bouyssou, R. / David, T. / Arnal, V. / Barnes, J.P. / Verove, C. / Joubert, O. et al. | 2010
- 817
-
Polythiophene-based charge dissipation layer for electron beam lithography of zinc oxide and gallium nitrideDylewicz, R. / Lis, S. / De La Rue, R.M. / Rahman, F. et al. | 2010
- 823
-
All-inorganic thermal nanoimprint processWeiss, D.N. / Meyers, S.T. / Keszler, D.A. et al. | 2010
- 829
-
Mechanism of increase in charge-pumping current of metal-nitride-oxide-silicon-field effect transistors during thick dielectric film etching using fluorocarbon gas plasmaIchihashi, Y. / Ishikawa, Y. / Shimizu, R. / Samukawa, S. et al. | 2010
- 834
-
High sheet resistance, low temperature coefficient of resistance resistor films for integrated circuitsWright, S.W. / Judge, C.P. / Lee, M.J. / Bowers, D.F. / Dunbar, M. / Wilson, C.D. et al. | 2010
- 841
-
Lithographic performance evaluation of a contaminated extreme ultraviolet mask after cleaningGeorge, S. / Naulleau, P. / Okoroanyanwu, U. / Dittmar, K. / Holfeld, C. / Wuest, A. et al. | 2010
- 849
-
Wafer-scale nanopatterning using electrodepositionLee, L.K. / Ku, P.-C. et al. | 2010
- 854
-
High-aspect-ratio deep Si etching in SF~6/O~2 plasma. I. Characteristics of radical reactions with high-aspect-ratio patternsMaruyama, T. / Narukage, T. / Onuki, R. / Fujiwara, N. et al. | 2010
- 862
-
High-aspect-ratio deep Si etching in SF~6/O~2 plasma. II. Mechanism of lateral etching in high-aspect-ratio featuresMaruyama, T. / Narukage, T. / Onuki, R. / Fujiwara, N. et al. | 2010
- 869
-
Synergistic Compositions of Colloidal Nanodiamond as Lubricant-additiveIvanov, M.G. / Pavlyshko, S.V. / Ivanov, D.M. / Petrov, I. / Shenderova, O. et al. | 2010
- 878
-
Simulation study of the in-plane-type triode carbon nanotube emitterFuruta, H. / Ishii, K. / Okada, K. / Furuta, M. / Hirao, T. et al. | 2010
- 882
-
Fabrication of submicron-sized features in InP/InGaAsP/AlGaInAs quantum well heterostructures by optimized inductively coupled plasma etching with Cl~2/Ar/N~2 chemistryDylewicz, R. / De La Rue, R.M. / Wasielewski, R. / Mazur, P. / Mezosi, G. / Bryce, A.C. et al. | 2010
- 899
-
Photoyield recovery of Cs+NF~3 activated negative electron affinity GaAs photoemitters without additional alkali depositionMulhollan, G.A. / Bierman, J.C. et al. | 2010
- 903
-
Integrated high-inductance three-dimensional toroidal inductorsYu, L. / Weon, D.-H. / Kim, J.-I. / Mohammadi, S. et al. | 2010
- 908
-
InAlN/GaN heterostructure field-effect transistors on Fe-doped semi-insulating GaN substratesWu, M. / Leach, J.H. / Ni, X. / Li, X. / Xie, J. / Dogan, S. / Ozgur, U. / Morkoc, H. / Paskova, T. / Preble, E. et al. | 2010
- 912
-
TiN thin film resistors for monolithic microwave integrated circuitsMalmros, A. / Sudow, M. / Andersson, K. / Rorsman, N. et al. | 2010
- 916
-
Planarization of high aspect ratio p-i-n diode pillar arrays for blanket electrical contactsVoss, L.F. / Shao, Q. / Reinhardt, C.E. / Graff, R.T. / Conway, A.M. / Nikolic, R.J. / Deo, N. / Li Cheung, C. et al. | 2010
- 921
-
Micro- and nanopatterned polymethylmethacrylate layers on plastic poly(ethylene terephthalate) substrates by modified roller-reversal imprint processCheng, C.-Y. / Hong, F.C.-N. / Huang, C.-Y. et al. | 2010
- 926
-
Reducing damage to Si substrates during gate etching processes by synchronous plasma pulsingPetit-Etienne, C. / Darnon, M. / Vallier, L. / Pargon, E. / Cunge, G. / Boulard, F. / Joubert, O. / Banna, S. / Lill, T. et al. | 2010
- 935
-
High-resolution transmission-electron microscope characterization of onionlike carbon transformed from nanodiamondZou, Q. / Wang, M.Z. / Li, Y.G. / Lu, B. et al. | 2010
- 940
-
Direct-write electron beam lithography in silicon dioxide at low energyBeaumont, A. / Dubuc, C. / Beauvais, J. / Drouin, D. et al. | 2010
- 946
-
Modeling of precursor coverage in ion-beam induced etching and verification with experiments using XeF~2 on SiO~2Ebm, C. / Hobler, G. / Waid, S. / Wanzenboeck, H.D. et al. | 2010
- 952
-
Mechanistic study of ultralow k-compatible carbon dioxide in situ photoresist ashing processes. I. Process performance and influence on ULK material modificationKuo, M.-S. / Pal, A.R. / Oehrlein, G.S. / Lazzeri, P. / Anderle, M. et al. | 2010
- C5E13
-
Atomic structure and strain of the InAs wetting layer growing on GaAs(001)-c(4x4)Prohl, C. / Hopfner, B. / Grabowski, J. / Dahne, M. / Eisele, H. et al. | 2010
- 961
-
Mechanistic study of ultralow k-compatible carbon dioxide in situ photoresist ashing processes. II. Interaction with preceding fluorocarbon plasma ultralow k etching processesKuo, M.-S. / Pal, A.R. / Oehrlein, G.S. / Hua, X. et al. | 2010
- 968
-
Degradation behavior of release layers for nanoimprint lithography formed on atomically flat Si(111) terracesKuwabara, K. / Miyauchi, A. / Sugimura, H. et al. | 2010
- 973
-
Line-width dependency on electromigration performance for long and short copper interconnectsCheng, Y.-L. / Chang, W.-Y. / Wang, Y.-L. et al. | 2010
- 978
-
Silicon on insulator nanoscale backside interconnects for atomic and molecular scale circuitsLwin, M.H.T. / Tun, T.N. / Kim, H.H. / Kajen, R.S. / Chandrasekhar, N. / Joachim, C. et al. | 2010
- 985
-
Wafer-scale epitaxial graphene growth on the Si-face of hexagonal SiC (0001) for high frequency transistorsDimitrakopoulos, C. / Lin, Y.-M. / Grill, A. / Farmer, D.B. / Freitag, M. / Sun, Y. / Han, S.-J. / Chen, Z. / Jenkins, K.A. / Zhu, Y. et al. | 2010
- 993
-
Photoresist modifications by plasma vacuum ultraviolet radiation: The role of polymer structure and plasma chemistryWeilnboeck, F. / Bruce, R.L. / Engelmann, S. / Oehrlein, G.S. / Nest, D. / Chung, T.-Y. / Graves, D. / Li, M. / Wang, D. / Andes, C. et al. | 2010
- 1005
-
Device characteristics of HfON charge-trap layer nonvolatile memoryLee, T. / Banerjee, S.K. et al. | 2010
- C5F28
-
Control of surface morphology and electronic properties of III-V semiconductors using molecular modificationYamada, F. / Arakawa, S. / Kamiya, I. et al. | 2010
- 1011
-
GaN epitaxial films grown by hydride vapor phase epitaxy on polycrystalline chemical vapor deposition diamond substrates using surface nanostructuring with TiN or anodic Al oxidePolyakov, A.Y. / Markov, A.V. / Duhnovsky, M.P. / Mezhennyi, M.V. / Donskov, A.A. / Malakhov, S.S. / Govorkov, A.V. / Kozlova, Y.P. / Pavlov, V.F. / Smirnov, N.B. et al. | 2010
- 1016
-
Enhanced electron-field emission from nanodiamond ridge-structured emission arrays capped on micropatterned silicon pillarsGhosh, N. / Kang, W.P. / Davidson, J.L. / Raina, S. et al. | 2010
- C5G5
-
Nanoscale electrical characterization of arrowhead defects in GaInP thin films grown on GeBeinik, I. / Galiana, B. / Kratzer, M. / Teichert, C. / Rey-Stolle, I. / Algora, C. / Tejedor, P. et al. | 2010
- 1020
-
Modified postannealing of the Ge condensation process for better-strained Si material and devicesLiu, X. / Ma, X. / Du, X. / Liu, W. / Song, Z. / Lin, C. et al. | 2010
- 1026
-
Ex situ tunnel junction process technique characterized by Coulomb blockade thermometryPrunnila, M. / Meschke, M. / Gunnarsson, D. / Enouz-Vedrenne, S. / Kivioja, J.M. / Pekola, J.P. et al. | 2010
- 1030
-
Holographic realization of hexagonal two dimensional photonic crystal structures with elliptical geometryHung, Y.-J. / Lee, S.-L. / Pan, Y.-T. / Thibeault, B.J. / Coldren, L.A. et al. | 2010
- 1039
-
a-plane GaN hydride vapor phase epitaxy on a-plane GaN templates with and without use of TiN intermediate layersPolyakov, A.Y. / Markov, A.V. / Mezhennyi, M.V. / Donskov, A.A. / Malakhov, S.S. / Govorkov, A.V. / Kozlova, Y.P. / Pavlov, V.F. / Smirnov, N.B. / Yugova, T.G. et al. | 2010
- 1044
-
Reverse gate bias-induced degradation of AlGaN/GaN high electron mobility transistorsChang, C.-Y. / Anderson, T. / Hite, J. / Lu, L. / Lo, C.-F. / Chu, B.-H. / Cheney, D.J. / Douglas, E.A. / Gila, B.P. / Ren, F. et al. | 2010
- 1048
-
Spatial coherence in electron-beam patterningPerera, G.M. / Stein, G.E. / Liddle, J.A. et al. | 2010
- 1056
-
Effects of nanoscale Ni, Al, and Ni-Al interlayers on nucleation and growth of diamond on SiLi, Y.S. / Tang, Y. / Yang, Q. / Hirose, A. et al. | 2010
- 1060
-
Electron emission from silicon tip arrays controlled by np junction minority carrier injectionYoung, R.M. / Nathanson, H.C. / Howell, R.S. / Stewart, E.J. / Nechay, B.A. / Braggins, T.T. / Graves, E.M. / Van Campen, S.D. / Clarke, R.C. / Miserendino, S.B. et al. | 2010
- 1066
-
Investigation of the behavior of serum and plasma in a microfluidics systemHenderson, J.C. / Yacopucci, M. / Chun, C. / Lenghaus, K. / Sommerhage, F. / Hickman, J.J. et al. | 2010
- 1070
-
Comparative study on the nonperiodic and periodic gratings for scanning probe microscopy drift measurementsNiu, D. / Li, J. / Chen, Y. / Huang, W. et al. | 2010
- 1081
-
Different growth mechanisms of vertical carbon nanotubes by rf- or dc-plasma enhanced chemical vapor deposition at low temperatureWang, H. / Moore, J.J. et al. | 2010
- 1086
-
Influence of the tip work function on scanning tunneling microscopy and spectroscopy on zinc doped GaAsWijnheijmer, A.P. / Garleff, J.K. / Heijden, M.A.v.d. / Koenraad, P.M. et al. | 2010
- 1093
-
Field-emission of TiSi~2 thin film deposited by an in situ chloride-generated routeHu, Y. / Li, Y. / Zhu, M. / Hu, Z. / Yu, L. et al. | 2010
- 1097
-
Characterization of electrodeposited Ni-Fe-SiC alloys for microelectromechanical applicationsZheng, X. / Chen, X. / Gu, F. / Liu, Y. / Lee, D.-W. et al. | 2010
- 1100
-
UV ozone passivation of the metal/dielectric interface for HfO~2-based organic thin film transistorsTang, W.M. / Ng, W.T. / Helander, M.G. / Greiner, M.T. / Lu, Z.H. et al. | 2010
- 1104
-
Surface and near-surface modifications of ultralow dielectric constant materials exposed to plasmas under sidewall-like conditionsKuo, M.-S. / Oehrlein, G.S. et al. | 2010
- 1111
-
Growth of size and density controlled GaAs/In~xGa~1~-~xAs/GaAs (x=0.10) nanowires on anodic alumina membrane-assisted etching of nanopatterned GaAsGunawan, A.A. / Jha, S. / Kuech, T.F. et al. | 2010
- 1120
-
Complex dielectric function and refractive index spectra of epitaxial CdO thin film grown on r-plane sapphire from 0.74 to 6.45 eVChoi, S.G. / Zuniga-Perez, J. / Munoz-Sanjose, V. / Norman, A.G. / Perkins, C.L. / Levi, D.H. et al. | 2010
- 1125
-
Formation of three-dimensional and nanowall structures on silicon using a hydrogen-assisted high aspect ratio etchingAzimi, S. / Mehran, M. / Amini, A. / Vali, A. / Mohajerzadeh, S. / Fathipour, M. et al. | 2010
- 1132
-
Statistical-noise effect on discrete power spectrum of line-edge and line-width roughnessHiraiwa, A. / Nishida, A. et al. | 2010
- 1138
-
Defect-free etching process for GaAs/AlGaAs hetero-nanostructure using chlorine/argon mixed neutral beamWang, X.-Y. / Huang, C.-H. / Ohno, Y. / Igarashi, M. / Murayama, A. / Samukawa, S. et al. | 2010
- 1143
-
Effect of Al doping on resistive switching behavior of NiO~x films for nonvolatile memory applicationKim, J. / Na, H. / Oh, J. / Ko, D.-H. / Sohn, H. et al. | 2010
- 1148
-
Mechanical and electronic characteristics of scanning probe microscopy probes based on coaxial palladium nanowire/carbon nanotube hybrid structuresClark, I.T. / Rius, G. / Matsuoka, Y. / Yoshimura, M. et al. | 2010
- 1153
-
CO~2 laser treatment for stabilization of the superhydrophobicity of carbon nanotube surfacesRamos, S.C. / Vasconcelos, G. / Antunes, E.F. / Lobo, A.O. / Trava-Airoldi, V.J. / Corat, E.J. et al. | 2010
- 1158
-
Dose loss of phosphorus due to interface segregation in silicon-on-insulator substratesChang, R.-D. / Ma, C.-C. / Tsai, J.-R. et al. | 2010
- 1164
-
Influence of surface treatment and interface layers on electrical spin injection efficiency and transport in InAsZhu, L. / Yu, E.T. et al. | 2010
- 1169
-
Electron detection performance of diamond avalanche diodeMorishita, H. / Ohshima, T. / Hatano, M. / Iwakaji, Y. / Maida, O. / Ito, T. et al. | 2010
- 1173
-
Thin film transistors with a ZnO channel and gate dielectric layers of HfO~2 by atomic layer depositionGrundbacher, R. / Chikkadi, K. / Hierold, C. et al. | 2010
- 1179
-
Silicon nitride hardmask fabrication using a cyclic CHF~3-based reactive ion etching process for vertical profile nanostructuresKaspar, P. / Jeyaram, Y. / Jackel, H. / Foelske, A. / Kotz, R. / Bellini, S. et al. | 2010
- 1187
-
Hydrogen etching and cutting of multiwall carbon nanotubesBehr, M.J. / Gaulding, E.A. / Mkhoyan, K.A. / Aydil, E.S. et al. | 2010
- 1195
-
Controlled sacrificial sidewall surface micromachining for the release of high length-to-thickness aspect ratio bridgesRaum, C.R. / Tait, R.N. / Gauthier, R. et al. | 2010
- 1202
-
Field emission stability and properties of simultaneously grown microcrystalline diamond and carbon nanostructure filmsUppireddi, K. / Weiner, B.R. / Morell, G. et al. | 2010
- 1206
-
Electron beam induced etching of silicon with SF~6Vanhove, N. / Lievens, P. / Vandervorst, W. et al. | 2010
- 1210
-
Simultaneous observation of surface topography and elasticity at atomic scale by multifrequency frequency modulation atomic force microscopyNaitoh, Y. / Ma, Z. / Li, Y.J. / Kageshima, M. / Sugawara, Y. et al. | 2010
- 1215
-
Nonlinearities in depth profiling nanometer layersSeah, M.P. / Mulcahy, C.P.A. / Biswas, S. et al. | 2010
- 1222
-
Challenges in the fabrication of an optical frequency ground plane cloak consisting of silicon nanorod arraysBlair, J. / Brown, D. / Tamma, V.A. / Park, W. / Summers, C. et al. | 2010
- 1231
-
Ni full-filling into Al~2O~3/Al film with etched tunnels using a polyethylene glycol solution bath in electroless-platingJang, J.-H. / Lee, C.-H. / Choi, W.-S. / Kim, N.-J. / Kim, T.-Y. / Kim, J.-H. / Park, C. / Suh, S.-J. et al. | 2010
- 1235
-
Antimonide-based depletion-mode metal-oxide-semiconductor field-effect transistors using small-bandgap InAs channel layersLin, H.-K. / Liau, G.-Y. / Liu, H.-K. et al. | 2010
- 1239
-
Impact of exposure doses on demolding process in UV nanoimprint lithographyTanabe, T. / Fujii, N. / Matsue, M. / Kawata, H. / Hirai, Y. et al. | 2010
- 1242
-
Statistical-noise effect on autocorrelation function of line-edge and line-width roughnessHiraiwa, A. / Nishida, A. et al. | 2010
- 1251
-
Thin polymer films viscosity measurements from nanopatterning methodLeveder, T. / Landis, S. / Chaix, N. / Davoust, L. et al. | 2010
- 1259
-
Effect of resist on the transfer of line-edge roughness spatial metrics from mask to waferNaulleau, P.P. / Gallatin, G.M. et al. | 2010
- 1267
-
Performance and reliability analysis of p-type metal-oxide-semiconductor field effect transistors with various combinations of Ru and Al gate metalPark, H.B. / Park, C.S. / Kang, C.Y. / Song, S.-C. / Lee, B.H. / Kim, T.W. / Jang, T.-Y. / Kim, D.-H. / Jeong, J.K. / Choi, R. et al. | 2010
- 1271
-
Structural and optical properties of self-assembled InAs quantum dot molecules on GaAs substratesTian, P. / Huang, L. / Yu, Y. / Huang, D. et al. | 2010
- 1274
-
Fabrication and field emission of carbon nanotubes/TiO~2/Ti composite nanostructuresChen, J.-B. / Wang, C.-W. / Guo, R.-S. / Wang, L.-Q. / Zhu, W.-D. / Zhou, F. / Liu, W.-M. et al. | 2010
- 1279
-
Atomic resolution force microscopy imaging on a strongly ionic surface with differently functionalized tipsArai, T. / Gritschneder, S. / Troger, L. / Reichling, M. et al. | 2010
- 1284
-
Electron field emission from well-aligned GaP nanotipsLo, H.-C. / Tsai, J.T.H. / Leu, J.-P. / Chen, C.-F. et al. | 2010
- 1287
-
Sputtering behavior and evolution of depth resolution upon low energy ion irradiation of GaAsHopstaken, M.J.P. / Gordon, M.S. / Pfeiffer, D. / Sadana, D.K. / Topuria, T. / Rice, P.M. / Gerl, C. / Richter, M. / Marchiori, C. et al. | 2010
- 1298
-
Relaxation of misfit strain in silicon-germanium (Si~1~-~xGe~x) films during dry oxidationYoo, J.-H. / Kim, S.-W. / Min, B.-G. / Sohn, H. / Ko, D.-H. / Cho, M.-H. et al. | 2010
- 1304
-
Quantifying reaction spread and x-ray exposure sensitivity in hydrogen silsesquioxane latent resist patterns with x-ray spectromicroscopyCaster, A.G. / Kowarik, S. / Schwartzberg, A.M. / Leone, S.R. / Tivanski, A. / Gilles, M.K. et al. | 2010
- 1314
-
Reduction of spin-flip scattering in metallic nonlocal spin valvesZou, H. / Wang, X.J. / Ji, Y. et al. | 2010
- 1318
-
Single-walled carbon nanotube alignment by grating-guided electrostatic self-assemblyLi, H. / Sun, D. / Sue, H.-J. / Cheng, X. et al. | 2010
- 1322
-
Moisture effect on electromigration characteristics for copper dual damascene interconnectionCheng, Y.-L. / Chang, W.-Y. / Wang, Y.-L. et al. | 2010
- 1326
-
Simple derivation of the formula for Sommerfeld supply density used in electron-emission physics and limitations on its useForbes, R.G. et al. | 2010
- C1C41
-
Electrical characterization of InGaAs ultra-shallow junctionsPetersen, Dirch H. / Hansen, Ole / Boggild, Peter / Rong, Lin / Nielsen, Peter F. / Lin, Dennis / Adelmann, Christoph / Alian, Alireza / Merckling, Clement / Penaud, Julien et al. | 2010
-
Advanced secondary ion mass spectroscopy quantification in the first few nanometer of B, P, and As ultrashallow implantsMerkulov, A. / Peres, P. / Choi, S. / Horreard, F. / Ehrke, H.-U. / Loibl, N. / Schuhmacher, M. et al. | 2010
-
Shallow As dose measurements of patterned wafers with secondary ion mass spectrometry and low energy electron induced x-ray emission spectroscopyEhrke, H.-U. / Loibl, N. / Moret, M.P. / Horreard, F. / Choi, J. / Hombourger, C. / Paret, V. / Benbalagh, R. / Morel, N. / Schuhmacher, M. et al. | 2010
- C1C59
-
Grazing incidence x-ray fluorescence and secondary ion mass spectrometry combined approach for the characterization of ultrashallow arsenic distribution in siliconPepponi, G. / Giubertoni, D. / Bersani, M. / Meirer, F. / Ingerle, D. / Steinhauser, G. / Streli, C. / Hoenicke, P. / Beckhoff, B. et al. | 2010
- C2A1
-
Revealing real images of cloverleaf pattern emission sites by using field ion microscopyNeo, Yoichiro / Matsumoto, Takahiro / Tomita, Makoto / Sasaki, Masahiro / Aoki, Toru / Mimura, Hidenori et al. | 2010
- C2A72
-
Peculiarities of the photon-assisted field emissions from GaN nanorodsEvtukh, A. / Yilmazoglu, O. / Litovchenko, V. / Semenenko, M. / Kyriienko, O. / Hartnagel, H.L. / Pavlidis, D. et al. | 2010
- C2B11
-
Electron field emission from the Si nanostructures formed by laser irradiationEvtukh, A. / Medvid, A. / Onufrijevs, P. / Okada, M. / Mimura, H. et al. | 2010
- C2B27
-
Electron emission from LiNbO3 crystal excited by ultraviolet laserKisa, T. / Murakami, K. / Abo, S. / Wakaya, F. / Takai, M. / Ishida, T. et al. | 2010
-
Femtosecond laser machining: A new technique to fabricate carbon nanotube based emittersHong, N.T. / Baek, I.H. / Rotermund, F. / Koh, K.H. / Lee, S. et al. | 2010
-
Field emission characteristics of carbon nanomaterials synthesized in methanolGamo, H. / Kikuchi, M. / Shibasaki, T. / Ando, T. / Nishitani-Gamo, M. et al. | 2010
- C2B56
-
Luminescent characteristics in blue-emitting Bi-activated multicomponent oxide phosphor thin filmsMinami, Tadatsugu / Miyata, Toshihiro / Ishino, Jun-ichi / Sahara, Keiichi et al. | 2010
-
Enhancement of ion-induced bending phenomenon using a double-layered film for field emitter array fabricationYoshida, T. / Nagao, M. / Kanemaru, S. et al. | 2010
- C2C16
-
Nanosized hexagonal plateletlike ZnO for nanophosphor applicationsGui, Han / Shibukawa, Atsushi / Okada, Morihiro / Neo, Yoichiro / Aoki, Toru / Mimura, Hidenori et al. | 2010
-
Characterization of zinc magnesium stannate phosphor fine particles synthesized by electromagnetic wave heatingKitaura, M. / Tani, S. / Mitsudo, S. / Fukui, K. et al. | 2010
- C2C49
-
Evaluation of emission uniformity of nanocrystalline silicon planar cathodesShimawaki, Hidetaka / Murakami, Katsuhisa / Neo, Yoichiro / Mimura, Hidenori / Wakaya, Fujio / Takai, Mikio et al. | 2010
- C3A1
-
High-quality molecular-beam-epitaxy-grown Ga~2O~3(Gd~2O~3) on Ge (100): Electrical and chemical characterizationsChu, R.L. / Lin, T.D. / Chu, L.K. / Huang, M.L. / Chang, C.C. / Hong, M. / Lin, C.A. / Kwo, J. et al. | 2010
- C3A5
-
Growth and structural characterization of epitaxial (La~1~-~xLu~x)~2O~3 layers grown on Si(111)Watahiki, T. / Grosse, F. / Kaganer, V.M. / Proessdorf, A. / Braun, W. et al. | 2010
- C3A9
-
Passivation of InGaAs using in situ molecular beam epitaxy Al~2O~3/HfO~2 and HfAlO/HfO~2Chang, P. / Lee, W.C. / Huang, M.L. / Lee, Y.J. / Hong, M. / Kwo, J. et al. | 2010
- C3A12
-
Growth, characterization, and uniformity analysis of 200 mm wafer-scale SrTiO~3/SiGu, X. / Lubyshev, D. / Batzel, J. / Fastenau, J.M. / Liu, W.K. / Pelzel, R. / Magana, J.F. / Ma, Q. / Rao, V.R. et al. | 2010
- C3A17
-
Lattice strain and in situ chemical depth profiling of nanometer-thick molecular beam epitaxy grown Y~2O~3 epitaxial films on Si (111)Lee, Y.J. / Lee, W.C. / Huang, M.L. / Wu, S.Y. / Nieh, C.W. / Hong, M. / Kwo, J. / Hsu, C.-H. et al. | 2010
- C3B1
-
Molecular beam epitaxy as a method for the growth of freestanding zinc-blende (cubic) GaN layers and substratesNovikov, S.V. / Zainal, N. / Akimov, A.V. / Staddon, C.R. / Kent, A.J. / Foxon, C.T. et al. | 2010
- C3B7
-
Optimization of the structural and optical quality of InN nanowires on Si(111) by molecular beam epitaxyChang, Y.-L. / Li, F. / Mi, Z. et al. | 2010
- C3B12
-
Molecular beam epitaxy of GaNAs alloys with high As content for potential photoanode applications in hydrogen productionNovikov, S.V. / Staddon, C.R. / Foxon, C.T. / Yu, K.M. / Broesler, R. / Hawkridge, M. / Liliental-Weber, Z. / Walukiewicz, W. / Denlinger, J. / Demchenko, I. et al. | 2010
- C3C1
-
Strongly correlated nu ~t~o~t=1 state in an intrinsically density-matched electron bilayer system and its two-terminal resistanceSchmult, S. / Tiemann, L. / Dietsche, W. / von Klitzing, K. et al. | 2010
- C3C4
-
Highly stacked InGaAs quantum dot structures grown with two species of AsSugaya, T. / Amano, T. / Mori, M. / Niki, S. et al. | 2010
- C3C9
-
High-optical-quality nanosphere lithographically formed InGaAs quantum dots using molecular beam epitaxy assisted GaAs mass transport and overgrowthQian, X. / Vangala, S. / Wasserman, D. / Goodhue, W.D. et al. | 2010
- C3C15
-
Photoluminescence and photoreflectance studies of InAs self-assembled nanostructures on GaAs(631) substratesGarcia-Linan, G. / Cruz-Hernandez, E. / Vazquez-Cortes, D. / Lopez-Luna, E. / Mendez-Garcia, V.H. / Lopez-Lopez, M. / Hernandez-Rosas, J. / Zamora-Peredo, L. et al. | 2010
- C3C19
-
Optical and electrical quality improvements of undoped InAs/GaSb superlatticesHaugan, H.J. / Ullrich, B. / Grazulis, L. / Elhamri, S. / Brown, G.J. / Mitchel, W.C. et al. | 2010
- C3C25
-
Molecular beam epitaxy and characterization of InGaAs/AlAs/AlAsSb coupled double quantum wells with extremely thin coupling barriersMozume, T. / Gozu, S. et al. | 2010
- C3C29
-
Hole mobility in pseudomorphic InGaSb quantum well modulation doped with carbonLiao, C. / Cheng, K.Y. et al. | 2010
- C3C33
-
Study of the driving force for the self-assembly of heterojunction quantum dots (zero D molecules) using finite element analysisEyink, K.G. / Grazulis, L. / Twyman, M. / Mahalingam, K. et al. | 2010
- C3D1
-
Controlled growth of (100) or (111) CdTe epitaxial layers on (100) GaAs by molecular beam epitaxy and study of their electron spin relaxation timesZhang, Q. / Li, Y. / Pagliero, D. / Charles, W. / Shen, A. / Meriles, C.A. / Tamargo, M.C. et al. | 2010
- C3D6
-
Donor-acceptor-pair photoluminescence in Ga-doped ZnO thin films grown by plasma-assisted molecular beam epitaxyYang, Z. / Liu, J.L. et al. | 2010
- C3D10
-
Ultraviolet light emissions in MgZnO/ZnO double heterojunction diodes by molecular beam epitaxyKong, J. / Li, L. / Yang, Z. / Liu, J. et al. | 2010
- C3D13
-
Study of rapid thermal annealing effect on CdZnO thin films grown on Si substrateLi, L. / Yang, Z. / Zuo, Z. / Kong, J.Y. / Liu, J.L. et al. | 2010
- C3E1
-
Epitaxial growth and structure of Ge-Sb-Te phase change materials on GaSbShayduk, R. / Katmis, F. / Braun, W. / Riechert, H. et al. | 2010
- C3E6
-
Molecular beam epitaxy growth and characterization of self-assembled MnAs wires on highly oriented pyrolytic graphiteHegde, S. / Fraser, E. / Kwon, J. / Luo, H. et al. | 2010
- C3F1
-
Modulated beam mass spectrometer studies of a Mark V Veeco crackerCampion, R.P. / Foxon, C.T. / Bresnahan, R.C. et al. | 2010
- C3F5
-
Short wavelength band edge thermometry during molecular beam epitaxial growth of GaN on SiC substrates and detected adatom self-heating effectsHoke, W.E. / Barlett, D. / Kennedy, T.D. / Wissman, B. / Mosca, J.J. et al. | 2010
- C3G1
-
Investigation of multistack InAs/InGaAs/GaAs self-assembled quantum dots-in-double-well structures for infrared detectorsSharma, Y.D. / Kutty, M.N. / Shenoi, R.V. / Barve, A.V. / Myers, S. / Shao, J. / Plis, E. / Lee, S. / Noh, S. / Krishna, S. et al. | 2010
- C3G13
-
Molecular beam epitaxy growth and characterization of type-II InAs/GaSb strained layer superlattices for long-wave infrared detectionPlis, E. / Khoshakhlagh, A. / Myers, S. / Kim, H.S. / Gautam, N. / Sharma, Y.D. / Krishna, S. / Lee, S.J. / Noh, S.K. et al. | 2010
- C3G19
-
Intersubband optoelectronics in the InGaAs/GaAsSb material systemDetz, H. / Andrews, A.M. / Nobile, M. / Klang, P. / Mujagic, E. / Hesser, G. / Schrenk, W. / Schaffler, F. / Strasser, G. et al. | 2010
- C3G24
-
Growth of Zn~x,Cd~(~1~-~x~'~)Se/Zn~xCd~yMg~(~1~-~x~-~y~)Se-InP quantum cascade structures for emission in the 3-5 μm rangeCharles, W.O. / Yao, Y. / Franz, K.J. / Zhang, Q. / Shen, A. / Gmachl, C. / Tamargo, M.C. et al. | 2010
- C3G28
-
Transition mechanism of InAs/GaAs quantum-dot infrared photodetectors with different InAs coveragesTseng, C.-C. / Chung, T.-H. / Mai, S.-C. / Chao, K.-P. / Lin, W.-H. / Lin, S.-Y. / Wu, M.-C. et al. | 2010
- C3H1
-
Molecular beam epitaxial growth and properties of GaAs pseudomorphic high electron mobility transistors on silicon composite substratesHoke, W.E. / Kennedy, T.D. / LaRoche, J.R. / Torabi, A. / Bettencourt, J.P. / Saledas, P. / Lee, C.D. / Lyman, P.S. / Kazior, T.E. / Bulsara, M.T. et al. | 2010
- C3H5
-
Mobility and remote scattering in buried InGaAs quantum well channels with high-k gate oxideNagaiah, P. / Tokranov, V. / Yakimov, M. / Koveshnikov, S. / Oktyabrsky, S. / Veksler, D. / Tsai, W. / Bersuker, G. et al. | 2010
- C3H10
-
Engineering of threshold voltages in molecular beam epitaxy-grown Al~2O~3/Ga~2O~3(Gd~2O~3)/In~0~.~2Ga~0~.~8AsWu, Y.D. / Lin, T.D. / Chiang, T.H. / Chang, Y.C. / Chiu, H.C. / Lee, Y.J. / Hong, M. / Lin, C.A. / Kwo, J. et al. | 2010
- C3H14
-
dc and rf characteristics of self-aligned inversion-channel In~0~.~5~3Ga~0~.~4~7As metal-oxide-semiconductor field-effect transistors using molecular beam epitaxy-Al~2O~3/Ga~2O~3(Gd~2O~3) as gate dielectricsLin, T.D. / Chang, P. / Chiu, H.C. / Hong, M. / Kwo, J. / Lin, Y.S. / Hsu, S.S.H. et al. | 2010
- C3I1
-
Temperature dependent lattice constant of Al~0~.~9~0Ga~0~.~1~0As~ySb~1~-~yBreivik, M. / Nilsen, T.A. / Myrvagnes, G. / Selvig, E. / Fimland, B.-O. et al. | 2010
- C3I6
-
Room temperature capacitance-voltage profile and photoluminescence for delta doped InGaAs single quantum wellBan, K.-Y. / Dahal, S.N. / Honsberg, C.B. / Nataraj, L. / Bremner, S.P. / Cloutier, S.G. et al. | 2010
- C3I10
-
Photoionization study of deep centers in GaN/AlGaN multiple quantum wellsZhang, S.K. / Wang, W.B. / Alfano, R.R. / Teke, A. / He, L. / Dogan, S. / Johnstone, D.J. / Morkoc, H. et al. | 2010
- C3I13
-
Optical characterization of Al~xGa~1~-~xAs/GaAs modulation-doped heterostructures grown under As~2 and As~4 fluxesVazquez-Cortes, D. / Soubervielle-Montalvo, C. / Mendez-Garcia, V.H. / Zamora-Peredo, L. / Jimenez-Sandoval, S. et al. | 2010
- C4A1
-
Potential contributions of noncontact atomic force microscopy for the future Casimir force measurementsKim, W.J. / Schwarz, U.D. et al. | 2010
- C4A8
-
Multiple scattering Casimir force calculations: Layered and corrugated materials, wedges, and Casimir-Polder forcesMilton, K.A. / Parashar, P. / Wagner, J. / Cavero-Pelaez, I. et al. | 2010
- C4A17
-
Optically anisotropic infinite cylinder above an optically anisotropic half space: Dispersion interaction of a single-walled carbon nanotube with a substrateSiber, A. / Rajter, R.F. / French, R.H. / Ching, W.Y. / Parsegian, V.A. / Podgornik, R. et al. | 2010
- C4A25
-
Contact potentials in Casimir force setups: An experimental analysisde Man, S. / Heeck, K. / Wijngaarden, R.J. / Iannuzzi, D. et al. | 2010
- C4B1
-
Three-dimensional force spectroscopy of KBr(001) by tuning fork-based cryogenic noncontact atomic force microscopySuch, B. / Glatzel, T. / Kawai, S. / Koch, S. / Meyer, E. et al. | 2010
- C4B6
-
Force field experiments of an epitaxial superstructure of 3,4,9,10-perylenetetra-carboxylic-dianhydride on Ag(111)Braun, D.-A. / Langewisch, G. / Fuchs, H. / Schirmeisen, A. et al. | 2010
- C4B12
-
Influence of thermal noise on measured bond lengths in force measurements using dynamic atomic force microscopyHoffmann, P.M. et al. | 2010
- C4C1
-
Theoretical simulation of noncontact atomic force microscopy in liquidsTsukada, M. / Watanabe, N. / Harada, M. / Tagami, K. et al. | 2010
- C4C5
-
Frequency modulation atomic force microscope observation of TiO~2(110) surfaces in waterSasahara, A. / Tomitori, M. et al. | 2010
- C4C11
-
Molecular resolution investigation of tetragonal lysozyme (110) face in liquid by frequency-modulation atomic force microscopyNagashima, K. / Abe, M. / Morita, S. / Oyabu, N. / Kobayashi, K. / Yamada, H. / Ohta, M. / Kokawa, R. / Murai, R. / Matsumura, H. et al. | 2010
- C4D1
-
Simultaneous atomic force and scanning tunneling microscopy study of the Ge(111)-c(2x8) surfaceSawada, D. / Sugimoto, Y. / Morita, K.-i. / Abe, M. / Morita, S. et al. | 2010
- C4D5
-
Noncontact scanning nonlinear dielectric microscopy imaging of TiO~2(110) surfacesKin, N. / Cho, Y. et al. | 2010
- C4D18
-
Investigation of interface between fullerene molecule and Si(111)-7x7 surface by noncontact scanning nonlinear dielectric microscopyKobayashi, S.-i. / Cho, Y. et al. | 2010
- C4D24
-
Visualization of anisotropic conductance in polydiacetylene crystal by dual-probe frequency-modulation atomic force microscopy/Kelvin-probe force microscopyTsunemi, E. / Kobayashi, K. / Matsushige, K. / Yamada, H. et al. | 2010
- C4D29
-
Local surface photovoltage spectroscopy of Cu-phthalocyanine clusters on different substratesSadewasser, S. / Lux-Steiner, M.C. et al. | 2010
- C4D34
-
Contacting self-ordered molecular wires by nanostencil lithographyGross, L. / Schlittler, R.R. / Meyer, G. / Fendt, L.-A. / Diederich, F. / Glatzel, T. / Kawai, S. / Koch, S. / Meyer, E. et al. | 2010
- C4E1
-
Numerical analysis of dynamic force spectroscopy using a dual-oscillator sensorSolares, S.D. / Holscher, H. et al. | 2010
- C4E/12
-
Application of the KolibriSensor(R) to combined atomic-resolution scanning tunneling microscopy and noncontact atomic-force microscopy imagingTorbruegge, Stefan / Schaff, Oliver / Rychen, Joerg et al. | 2010
- C4E12
-
Application of the KolibriSensor® to combined atomic-resolution scanning tunneling microscopy and noncontact atomic-force microscopy imagingTorbrugge, S. / Schaff, O. / Rychen, J. et al. | 2010
- C4E21
-
Very compact design for a low-temperature tuning fork atomic force microscopeWintjes, N. / Lange, M. / van Vorden, D. / Karacuban, H. / Utzat, D. / Moller, R. et al. | 2010
- C4E24
-
Internal damping for noncontact atomic force microscopy cantileversZypman, F. et al. | 2010
- C4E28
-
Preparation of light-atom tips for scanning probe microscopy by explosive delaminationHofmann, T. / Welker, J. / Giessibl, F.J. et al. | 2010
- C4E31
-
Vertical and lateral drift corrections of scanning probe microscopy imagesRahe, P. / Bechstein, R. / Kuhnle, A. et al. | 2010
- C4E39
-
Open source scanning probe microscopy control software package GXSMZahl, P. / Wagner, T. / Moller, R. / Klust, A. et al. | 2010
- C5A1
-
Synthesis and calorimetric studies of oxide multilayer systems: Solid oxide fuel cell cathode and electrolyte materialsKemik, N. / Ushakov, S.V. / Schichtel, N. / Korte, C. / Takamura, Y. / Navrotsky, A. et al. | 2010
- C5A6
-
Growth and characterization of PZT/LSMO multiferroic heterostructuresVaz, C.A.F. / Segal, Y. / Hoffman, J. / Walker, F.J. / Ahn, C.H. et al. | 2010
- C5A11
-
Survey of fractured SrTiO~3 surfaces: From the micrometer to nanometer scaleChien, T. / Guisinger, N.P. / Freeland, J.W. et al. | 2010
- C5A14
-
Phase separation and microstructure of BaTiO~3-CoFe~2O~4 epitaxial nanocomposite films deposited under low working pressureKim, K.S. / Han, S.H. / Kim, H.G. / Kim, J.S. / Cheon, C.I. et al. | 2010
- C5A20
-
Domain dynamics in epitaxial Pb(Zr~0~.~2Ti~0~.~8)O~3 films studied by piezoelectric force microscopyKlein, L.J. / Dubourdieu, C. / Frank, M.M. / Hoffman, J. / Reiner, J.W. / Ahn, C.H. et al. | 2010
- C5A24
-
Polar catastrophe and the spin-polarized electron gas at the LaMnO~3/SrMnO~3 interfaceNanda, B.R.K. / Satpathy, S. et al. | 2010
- C5B1
-
Morphology of epitaxial SrTiO~3/Si (001) determined using three-dimensional diffraction profile analysisSegal, Y. / Reiner, J.W. / Zhang, Z. / Ahn, C.H. / Walker, F.J. et al. | 2010
- C5B5
-
Thermal stability of thin ZrO~2 films prepared by a sol-gel process on Si(001) substratesDoscher, H. / Lilienkamp, G. / Iskra, P. / Kazempoor, M. / Daum, W. et al. | 2010
- C5C1
-
Formation of epitaxial graphene on SiC(0001) using vacuum or argon environmentsLuxmi / Srivastava, N. / Feenstra, R.M. / Fisher, P.J. et al. | 2010
- C5C8
-
Structural and electronic properties of carbon nanocylinder consisting of nanoribbon-walls with arrayed-oxygen hingesFujii, Y. / Natori, A. / Nakamura, J. et al. | 2010
- C5D1
-
Multitechnique characterization of adsorbed peptide and protein orientation: LK3~1~0 and Protein G B1Baio, J.E. / Weidner, T. / Samuel, N.T. / McCrea, K. / Baugh, L. / Stayton, P.S. / Castner, D.G. et al. | 2010
- C5E1
-
InAs nanostructures on InGaAsP/InP(001): Interaction of InAs quantum-dash formation with InGaAsP decompositionGenz, F. / Lenz, A. / Eisele, H. / Ivanova, L. / Timm, R. / Pohl, U.W. / Dahne, M. / Franke, D. / Kunzel, H. et al. | 2010
- C5E8
-
Fabrication and coupling investigation of films of PbS quantum dotsLu, W. / Yamada, F. / Kamiya, I. et al. | 2010
- C5E22
-
Vibrational spectra of quantum dots formed by Langmuir-Blodgett techniqueMilekhin, A. / Sveshnikova, L. / Duda, T. / Surovtsev, N. / Adichtchev, S. / Ding, L. / Zahn, D.R.T. et al. | 2010
- C5E25
-
Polarized emission from GaN/AlN quantum dots subject to uniaxial thermal interfacial stressesMoshe, O. / Rich, D.H. / Damilano, B. / Massies, J. et al. | 2010
- C5F1
-
Dual parameter ballistic electron emission spectroscopy analysis of inhomogeneous interfacesTroadec, C. / Goh, K.E.J. et al. | 2010
- C5F5
-
Real-time monitoring of the evolving morphology and molecular structure at an organic-inorganic semiconductor interface: SnPc on GaAs(001)Evans, D.A. / Vearey-Roberts, A.R. / Roberts, O.R. / Brieva, A.C. / Bushell, A. / Williams, G.T. / Langstaff, D.P. / Cabailh, G. / McGovern, I.T. et al. | 2010
- C5F12
-
Probing and modeling of interfacial carrier motion in organic devices by optical second harmonic generationIwamoto, M. / Manaka, T. / Weis, M. / Taguchi, D. et al. | 2010
- C5F17
-
In situ investigation of CuPc thin films grown on vicinal Si(111)Ding, L. / Friedrich, M. / Gordan, O.D. / Zahn, D.R.T. et al. | 2010
- C5F22
-
Mobility saturation in tapered edge bottom contact copper phthalocyanine thin film transistorsRoyer, J.E. / Park, J. / Colesniuc, C. / Lee, J.S. / Gredig, T. / Lee, S. / Jin, S. / Schuller, I.K. / Trogler, W.C. / Kummel, A.C. et al. | 2010
- C5G1
-
Atom-by-atom assembly and spectroscopy of In/InAs(111)A adatom chains by low-temperature scanning tunneling microscopyYang, J. / Nacci, C. / Kanisawa, K. / Folsch, S. et al. | 2010
- C5G11
-
Cross-sectional scanning tunneling microscopy and spectroscopy of nonpolar GaN(1100) surfacesEisele, H. / Borisova, S. / Ivanova, L. / Dahne, M. / Ebert, P. et al. | 2010
- C5H1
-
In situ antiphase domain quantification applied on heteroepitaxial GaP growth on Si(100)Doscher, H. / Kunert, B. / Beyer, A. / Supplie, O. / Volz, K. / Stolz, W. / Hannappel, T. et al. | 2010
- C5I1
-
Bias stress stability of zinc-tin-oxide thin-film transistors with Al~2O~3 gate dielectricsTriska, J. / Conley, J.F. / Presley, R. / Wager, J.F. et al. | 2010
- C5I7
-
Ex situ Ohmic contacts to n-InGaAsBaraskar, A. / Wistey, M.A. / Jain, V. / Lobisser, E. / Singisetti, U. / Burek, G. / Lee, Y.J. / Thibeault, B. / Gossard, A. / Rodwell, M. et al. | 2010
- C6B7/1
-
Directed assembly of solution processed single-walled carbon nanotubes via dielectrophoresis: From aligned array to individual nanotube devicesStokes, Paul / Khondaker, Saiful I. et al. | 2010
-
Field induced shape and work function modification for the ZrO/W(100) Schottky cathodeLiu, K. / Schwind, G.A. / Swanson, L.W. / Campbell, J.A. et al. | 2010
-
Simulation of scanning electron microscope images taking into account local and global electromagnetic fieldsBabin, S. / Borisov, S.S. / Ito, H. / Ivanchikov, A. / Suzuki, M. et al. | 2010
- C6E17/1
-
Assessing the mask clamping ability of a low thermal expansion material chuckZeuske, J.R. / Vukkadala, P. / Engelstad, R.L. / Mikkelson, A.R. / Kalkowski, G. / Risse, S. / Mueller, S. et al. | 2010
- C6I11/1
-
Nanofluidic channels fabricated by e-beam lithography and polymer reflow sealingFouad, Mina / Yavuz, Mustafa / Bo, Cui et al. | 2010
- C6M68/1
-
Impact of molecular size on resist filling process in nanoimprint lithography: Molecular dynamics studyTaga, Akihiro / Yasuda, Masaaki / Kawata, Hiroaki / Hirai, Yoshihiko et al. | 2010
-
Rapid patterning of spin-on-glass using ultrasonic nanoimprintMekaru, H. / Takahashi, M. et al. | 2010
- C6M136/1
-
Sputtering with an etch-free lift-off in thermal nanoimprint lithographyMayer, Andre / Bogdanski, Nicolas / Moellenbeck, Saskia / Dhima, Khalid / Papenheim, Marc / Scheer, Hella-Christin et al. | 2010
- C6Q20/1
-
Development of a simple, compact, low-cost interference lithography systemKorre, Hasan / Fucetola, Corey P. / Johnson, Jeremy A. / Berggren, Karl K. et al. | 2010
- L9
-
Observation of visible cathodoluminescence from Nd- and Dy-ion-implanted SiKawai, S. / Ono, K. / Motooka, T. et al. | 2010
- L13
-
Unveiling the nature of nanoscale crystal plasticity using strawsKhraishi, T.A. / Shen, Y.-L. / Leseman, Z.C. et al. | 2010
- L17
-
High performance of compact radical monitoring probe in H~2/N~2 mixture plasmaMoon, C.S. / Takeda, K. / Takashima, S. / Sekine, M. / Setsuhara, Y. / Shiratani, M. / Hori, M. et al. | 2010
- L21
-
Visualizing contact line phenomena on microstructured superhydrophobic surfacesCannon, A.H. / King, W.P. et al. | 2010
- L31
-
Scatterometric porosimetry: A new characterization technique for porous material patterned structuresBouyssou, R. / El Kodadi, M. / Licitra, C. / Chevolleau, T. / Besacier, M. / Posseme, N. / Joubert, O. / Schiavone, P. et al. | 2010
- L35
-
Electric field induced motion of metallic droplets: Application to submicron contactorDallaporta, H. / Prestigiacomo, M. / Bedu, F. / Tonneau, D. / Chatain, D. / Sudraud, P. et al. | 2010
- L39
-
Fabrication of antireflection-structured surface using vertical nanowires as an initial structureNagato, K. / Moritani, H. / Hamaguchi, T. / Nakao, M. et al. | 2010
- L43
-
Ti/Au Ohmic contacts to indium zinc oxide thin films on paper substratesKhanna, R. / Douglas, E.A. / Norton, D.P. / Pearton, S.J. / Ren, F. et al. | 2010
- L47
-
Proton irradiation effects on AlN/GaN high electron mobility transistorsLo, C.F. / Chang, C.Y. / Chu, B.H. / Kim, H.-Y. / Kim, J. / Cullen, D.A. / Zhou, L. / Smith, D.J. / Pearton, S.J. / Dabiran, A. et al. | 2010
- L52
-
Radioisotope-powered ion gauge with super high stability, long life, and large sensitivity range from ultrahigh vacuum to high pressureLu, Y. / Lal, A. et al. | 2010
-
Structural properties of C~6~0-multivalent metal composite layers grown by molecular beam epitaxyNishinaga, J. / Horikoshi, Y. et al. | 2010
-
Simulation of electron beam lithography of nanostructuresStepanova, M. / Fito, T. / Szabo, Z. / Alti, K. / Adeyenuwo, A.P. / Koshelev, K. / Aktary, M. / Dew, S.K. et al. | 2010
-
Rotation speed control of Janus particles by dielectrophoresis in a microfluidic channelHonegger, T. / Lecarme, O. / Berton, K. / Peyrade, D. et al. | 2010
-
Texturing of silicon using a microporous polymer etch maskKorivi, N.S. / Hoffpauir, J. / Ajmera, P.K. et al. | 2010
-
Geometry enhanced asymmetric rectifying tunneling diodesChoi, K. / Ryu, G. / Yesilkoy, F. / Chryssis, A. / Goldsman, N. / Dagenais, M. / Peckerar, M. et al. | 2010
-
Effects of salty-developer temperature on electron-beam-exposed hydrogen silsesquioxane resist for ultradense pattern transferYan, M. / Lee, J. / Ofuonye, B. / Choi, S. / Jang, J.H. / Adesida, I. et al. | 2010
-
Electron wave interference induced by electrons emitted from Pt field emitter fabricated by focused-ion-beam-induced depositionMurakami, K. / Matsuo, T. / Wakaya, F. / Takai, M. et al. | 2010
-
Cooling power of field emission from the n-type silicon semiconductorChung, M.S. / Yoon, B.-G. / Mayer, A. / Weis, B.L. / Miskovsky, N.M. / Cutler, P.H. et al. | 2010
-
Direct growth of carbon nanofibers on metal mesh substrates by ion irradiation methodZamri, M. / Ghosh, P. / Wang, Z.P. / Kawagishi, M. / Hayashi, A. / Hayashi, Y. / Tanemura, M. et al. | 2010
-
High-current, low-cost field emission triode using a reticulated vitreous carbon cathodeCarpenter, A.C. / Hunt, C.E. et al. | 2010
-
Novel field-assisted photocathodes with nanoscale grating antennasNiigaki, M. / Hirohata, T. / Akahori, W. / Kan, H. et al. | 2010
-
Nondestructive extraction of junction depths of active doping profiles from photomodulated optical reflectance offset curvesBogdanowicz, J. / Dortu, F. / Clarysse, T. / Vandervorst, W. / Rosseel, E. / Nguyen, N.D. / Shaughnessy, D. / Salnik, A. / Nicolaides, L. et al. | 2010
-
Local dielectric spectroscopy of nanocomposite materials interfacesLabardi, M. / Prevosto, D. / Nguyen, K.H. / Capaccioli, S. / Lucchesi, M. / Rolla, P. et al. | 2010
-
To charge or not to charge: 50 years of lithographic choicesPease, R.F. et al. | 2010
-
Integration of block copolymer directed assembly with 193 immersion lithographyLiu, C.-C. / Nealey, P.F. / Raub, A.K. / Hakeem, P.J. / Brueck, S.R.J. / Han, E. / Gopalan, P. et al. | 2010
-
Analysis of subsurface beam spread and its impact on the image resolution of the helium ion microscopeSijbrandij, S. / Notte, J. / Sanford, C. / Hill, R. et al. | 2010
-
Fabrication of ordered nanospheres using a combination of nanoimprint lithography and controlled dewettingSchleunitz, A. / Spreu, C. / Lee, J. / Schift, H. et al. | 2010
-
Microelectromechanical systems for biomimetical applicationsLatif, R. / Mastropaolo, E. / Bunting, A. / Cheung, R. / Koickal, T. / Hamilton, A. / Newton, M. / Smith, L. et al. | 2010
-
Microfabricated resistive high-sensitivity nanoprobe for scanning thermal microscopyWielgoszewski, G. / Sulecki, P. / Gotszalk, T. / Janus, P. / Szmigiel, D. / Grabiec, P. / Zschech, E. et al. | 2010
-
Pyrolysis of two-dimensional and three-dimensioanl interferometrically patterned resist structuresBurckel, D.B. / Washburn, C.M. / Koleske, D.D. / Polsky, R. et al. | 2010
-
Noise analysis of carbon nanotube field effect transistors irradiated by electron beamChan, J. / Kidd, D. / Burke, B. / Harriott, L. / Williams, K. et al. | 2010
-
Excitation and imaging of resonant optical modes of Au triangular nanoantennas using cathodoluminescence spectroscopyKumar, A. / Fung, K.-H. / Mabon, J.C. / Chow, E. / Fang, N.X. et al. | 2010
-
Focused chromium ion beamSteele, A.V. / Knuffman, B. / McClelland, J.J. / Orloff, J. et al. | 2010
-
Model for nanopillar growth by focused helium ion-beam-induced depositionAlkemade, P.F.A. / Chen, P. / van Veldhoven, E. / Maas, D. et al. | 2010
-
Ga^+ beam lithography for suspended lateral beams and nanowiresHenry, M.D. / Sheam, M. / Scherer, A. et al. | 2010
-
Blanking characteristics of a miniature electron beam columnSilver, C.S. / Spallas, J.P. / Muray, L.P. et al. | 2010
-
Linewidth metrology for sub-10-nm lithographyThoms, S. / Macintyre, D.S. et al. | 2010
-
Metrology for electron-beam lithography and resist contrast at the sub-10 nm scaleDuan, H. / Manfrinato, V.R. / Yang, J.K.W. / Winston, D. / Cord, B.M. / Berggren, K.K. et al. | 2010
-
Platinum single-electron transistors with tunnel barriers made by atomic layer depositionGeorge, H.C. / Orlov, A.O. / Snider, G.L. et al. | 2010
-
Aspects of hybrid pattern definition while combining thermal nanoimprint with optical lithographyScheer, H.-C. / Mollenbeck, S. / Mayer, A. / Dhima, K. et al. | 2010
-
Impact of substrate deformation on demolding force for thermal imprint processKawata, H. / Watanabe, Y. / Fujikawa, N. / Yasuda, M. / Hirai, Y. et al. | 2010
-
Imprinted quarter wave plate at terahertz frequencySaha, S.C. / Ma, Y. / Grant, J.P. / Khalid, A. / Cumming, D.R.S. et al. | 2010
-
Nanoimprinting for diffractive light trapping in solar cellsWeiss, D.N. / Yuan, H.-C. / Lee, B.G. / Branz, H.M. / Meyers, S.T. / Grenville, A. / Keszler, D.A. et al. | 2010
-
Fabrication of nanostar arrays by nanoimprint lithographyVeres, T. / Cui, B. / Clime, L. et al. | 2010
-
Resonant coupling to a dipole absorber inside a metamaterial: Anticrossing of the negative index responseSmolev, S. / Ku, Z. / Brueck, S.R.J. / Brener, I. / Sinclair, M.B. / Eyck, G.A.T. / Langston, W.L. / Basilio, L.I. et al. | 2010
-
Copper-plated 50 nm T-gate fabricationOxland, R.K. / Li, X. / Ferguson, S. / Bentley, S. / Thayne, I.G. et al. | 2010
-
Customized illumination for process window optimization and yield improvement in mask aligner lithography systemsHornung, M. / Vogler, U. / Voelkel, R. et al. | 2010
-
Molecular-beam epitaxy growth of site-controlled InAs/GaAs quantum dots defined by soft photocurable nanoimprint lithographyCheng, C.-C. / Meneou, K. / Cheng, K.Y. et al. | 2010
-
Molecular beam epitaxial growth effects on type-II antimonide lasers and photodiodesCanedy, C.L. / Abell, J. / Bewley, W.W. / Aifer, E.H. / Kim, C.S. / Nolde, J.A. / Kim, M. / Tischler, J.G. / Lindle, J.R. / Jackson, E.M. et al. | 2010
-
Measurement of the Casimir effect under ultrahigh vacuum: Calibration methodTorricelli, G. / Thornton, S. / Binns, C. / Pirozhenko, I. / Lambrecht, A. et al. | 2010
-
Theories of field and thermionic electron emissions from carbon nanotubesLiang, S.-D. / Chen, L. et al. | 2010
-
Numerical simulations on capture area of gas molecules for high brightness gas field ion sourceSugiyama, Y. / Kobayashi, Y. / Morikawa, Y. / Kajiwara, K. / Hata, K. et al. | 2010
-
Efficient high-current field emission from arrays of carbon nanotube columnsNavitski, A. / Muller, G. / Sakharuk, V. / Prudnikava, A.L. / Shulitski, B.G. / Labunov, V.A. et al. | 2010
-
Fabrication and electron field-emission properties of titanium oxide nanowire on glass substrateWakaya, F. / Miki, M. / Fukuyama, C. / Murakami, K. / Abo, S. / Takai, M. et al. | 2010
-
Emission characteristics and application of graphite nanospine cathodeJyouzuka, A. / Nakamura, T. / Onizuka, Y. / Mimura, H. / Matsumoto, T. / Kume, H. et al. | 2010
-
Sensitivity study of micro four-point probe measurements on small samplesWang, F. / Petersen, D.H. / Hansen, T.M. / Henriksen, T.R. / Boggild, P. / Hansen, O. et al. | 2010
-
Chloride ion detection by InN gated AlGaN/GaN high electron mobility transistorsChu, B.-H. / Lin, H.-W. / Gwo, S. / Wang, Y.-L. / Pearton, S.J. / Johnson, J.W. / Rajagopal, P. / Roberts, J.C. / Piner, E.L. / Linthicuni, K.J. et al. | 2010
-
Photovoltage versus microprobe sheet resistance measurements on ultrashallow structuresClarysse, T. / Moussa, A. / Parmentier, B. / Bogdanowicz, J. / Vandervorst, W. / Bender, H. / Pfeffer, M. / Schellenberger, M. / Nielsen, P.F. / Thorsteinsson, S. et al. | 2010
-
Application of the Storing Matter technique to the analysis of semiconductor materialsMansilla, C. / Wirtz, T. et al. | 2010
-
Quantitative dopant profiling of p-n junction in InGaAs/AlGaAs light-emitting diode using off-axis electron holographyChung, S. / Johnson, S.R. / Ding, D. / Zhang, Y.-H. / Smith, D.J. / McCartney, M.R. et al. | 2010
-
Detailed simulation study of embedded SiGe and Si:C source/drain stressors in nanoscaled silicon on insulator metal oxide semiconductor field effect transistorsFlachowsky, S. / Illgen, R. / Herrmann, T. / Klix, W. / Stenzel, R. / Ostermay, I. / Naumann, A. / Wei, A. / Hontschel, J. / Horstmann, M. et al. | 2010
-
Interaction of NiSi with dopants for metallic source/drain applicationsLuo, J. / Qiu, Z.-J. / Zhang, Z. / Ostling, M. / Zhang, S.-L. et al. | 2010
-
Configuration-dependent enhancements of electric fields near the quadruple and the triple junctionChung, M.S. / Yoon, B.-G. / Cutler, P.H. / Miskovsky, N.M. / Weiss, B.L. / Mayer, A. et al. | 2010
-
Stabilization of a tungsten <310> cold field emitterKasuya, K. / Katagiri, S. / Ohshima, T. / Kokubo, S. et al. | 2010
-
Analysis of surface electromagnetic wave resonant structures for potential application in an array of compact photoelectron sourcesChoi, H.J. / Groves, T.R. et al. | 2010
-
Understanding the relationship between true and measured resist feature critical dimension and line edge roughness using a detailed scanning electron microscopy simulatorLawson, R.A. / Henderson, C.L. et al. | 2010
-
Three-dimensional microfluidic mixers using ion beam lithography and micromachiningPalacios, E. / Ocola, L.E. / Joshi-Imre, A. / Bauerdick, S. / Berse, M. / Peto, L. et al. | 2010
-
Microfluidics-assisted photo nanoimprint lithography for the formation of cellular bioimprintsNock, V. / Murray, L. / Samsuri, F. / Alkaisi, M.M. / Evans, J.J. et al. | 2010
-
Assessment of release properties in UV nanoimprint lithography using high-aspect-ratio nanoscale moldsTakahashi, J. / Taniguchi, J. / Kamiya, Y. et al. | 2010
-
Fabrication of seamless three-dimensional roll mold using direct electron-beam writing on rotating cylindrical substrateTaniguchi, J. / Tsuji, S. / Aratani, M. et al. | 2010
-
Long-range ordered aluminum oxide nanotubes by nanoimprint-assisted aluminum film surface engineeringNoh, K. / Choi, C. / Kim, J.-Y. / Oh, Y. / Brammer, K.S. / Loya, M.C. / Jin, S. et al. | 2010
-
Process-simulation system for UV-nanoimprint lithographyShibata, M. / Horiba, A. / Nagaoka, Y. / Kawata, H. / Yasuda, M. / Hirai, Y. et al. | 2010
-
Micromachined scanning proximal probes with integrated piezoresistive readout and bimetal actuator for high eigenmode operationWoszczyna, M. / Zawierucha, P. / Paletko, P. / Zielony, M. / Gotszalk, T. / Sarov, Y. / Ivanov, T. / Frank, A. / Zollner, J.-P. / Rangelow, I.W. et al. | 2010
-
Comparison of positive tone versus negative tone resist pattern collapse behaviorYeh, W.-M. / Noga, D.E. / Lawson, R.A. / Tolbert, L.M. / Henderson, C.L. et al. | 2010
-
Development of ion sources from ionic liquids for microfabricationPerez-Martinez, C. / Guilet, S. / Gogneau, N. / Jegou, P. / Gierak, J. / Lozano, P. et al. | 2010
-
Structural analysis of thin epitaxial Y~2O~3 films on sapphireWebster, S.E. / Kumaran, R. / Penson, S. / Tiedje, T. et al. | 2010
-
Experimental evaluation of the influence of shank shape of field ion emitter on the angular current densityKobayashi, Y. / Sugiyama, Y. / Morikawa, Y. / Kajiwara, K. / Hata, K. et al. | 2010
-
Flexible carbon nanotube-array cathodes: Fabrication and bending effect on field-electron emissionHong, N.T. / Koh, K.H. / Lee, S. / Tam, N.T.T. / Minh, P.N. et al. | 2010
-
Metal-free and gasless space charge compensation of low energy ion beam by using surface-carbonized silicon field emitter arrayTakeuchi, M. / Gotoh, Y. / Tsuji, H. / Ishikawa, J. / Sakai, S. et al. | 2010
-
Deactivation of submelt laser annealed arsenic ultrashallow junctions in silicon during subsequent thermal treatmentGiubertoni, D. / Pepponi, G. / Sahiner, M.A. / Kelty, S.P. / Gennaro, S. / Bersani, M. / Kah, M. / Kirkby, K.J. / Doherty, R. / Foad, M.A. et al. | 2010