Double patterning in lithography for 65nm node with oxidation process (Englisch)
- Neue Suche nach: Jeong, Eunsoo
- Neue Suche nach: Kim, Jeahee
- Neue Suche nach: Choi, Kwangsun
- Neue Suche nach: Lee, Minkon
- Neue Suche nach: Lee, Doosung
- Neue Suche nach: Kim, Myungsoo
- Neue Suche nach: Park, Chansik
- Neue Suche nach: Jeong, Eunsoo
- Neue Suche nach: Kim, Jeahee
- Neue Suche nach: Choi, Kwangsun
- Neue Suche nach: Lee, Minkon
- Neue Suche nach: Lee, Doosung
- Neue Suche nach: Kim, Myungsoo
- Neue Suche nach: Park, Chansik
In:
Proc. SPIE
;
6924
; 692424
;
2008
-
ISBN:
-
ISSN:
- Aufsatz (Konferenz) / Elektronische Ressource
-
Titel:Double patterning in lithography for 65nm node with oxidation process
-
Beteiligte:Jeong, Eunsoo ( Autor:in ) / Kim, Jeahee ( Autor:in ) / Choi, Kwangsun ( Autor:in ) / Lee, Minkon ( Autor:in ) / Lee, Doosung ( Autor:in ) / Kim, Myungsoo ( Autor:in ) / Park, Chansik ( Autor:in )
-
Kongress:Optical Microlithography XXI ; 2008 ; San Jose,California,United States
-
Erschienen in:Proc. SPIE ; 6924 ; 692424
-
Verlag:
- Neue Suche nach: SPIE
-
Erscheinungsdatum:07.03.2008
-
ISBN:
-
ISSN:
-
DOI:
-
Medientyp:Aufsatz (Konferenz)
-
Format:Elektronische Ressource
-
Sprache:Englisch
-
Datenquelle:
Inhaltsverzeichnis Konferenzband
Die Inhaltsverzeichnisse werden automatisch erzeugt und basieren auf den im Index des TIB-Portals verfügbaren Einzelnachweisen der enthaltenen Beiträge. Die Anzeige der Inhaltsverzeichnisse kann daher unvollständig oder lückenhaft sein.
- 69240A
-
Double patterning combined with shrink technique to extend ArF lithography for contact holes to 22nm node and beyondMiao, Xiangqun / Huli, Lior / Chen, Hao / Xu, Xumou / Woo, Hyungje / Bencher, Chris / Shu, Jen / Ngai, Chris / Borst, Christopher et al. | 2008
- 69240B
-
Negative and iterated spacer lithography processes for low variability and ultra-dense integrationCarlson, Andrew / Liu, Tsu-Jae King et al. | 2008
- 69240C
-
Double patterning of contact array with carbon polymerJung, Woo-Yung / Sim, Guee-Hwang / Kim, Sang-Min / Kim, Choi-Dong / Jeon, Sung-Min / Kim, Keunjun / Park, Sang-Wook / Lee, Byung-Seok / Park, Sung-Ki / Cho, Hoon-Hee et al. | 2008
- 69240D
-
PDL oxide enabled pitch doublingShamma, Nader / Chou, Wen-Ben / Kalinovski, Ilia / Schlosser, Don / Mountsier, Tom / Mui, Collin / Tarafdar, Raihan / van Schravendijk, Bart et al. | 2008
- 69240E
-
Pixelated phase mask as novel lithography RETBorodovsky, Yan / Cheng, Wen-Hao / Schenker, Richard / Singh, Vivek et al. | 2008
- 69240F
-
Mask optimization for arbitrary patterns with 2D-TCC resolution enhancement techniqueKawashima, Miyoko / Yamazoe, Kenji / Sekine, Yoshiyuki / Hakko, Manabu / Ohta, Masakatsu / Honda, Tokuyuki et al. | 2008
- 69240H
-
Comparative study of binary intensity mask and attenuated phase shift mask using hyper-NA immersion lithography for sub-45nm eraEom, Tae-Seung / Park, Jun-Taek / Kang, Jung-Hyun / Park, Sarohan / Koo, Sunyoung / Kim, Jin-Soo / Lee, Byoung-Hoon / Lim, Chang-Moon / Kim, HyeongSoo / Moon, Seung-Chan et al. | 2008
- 69240I
-
Integration of pixelated phase masks for full-chip random logic layersSchenker, Richard / Bollepalli, Srinivas / Hu, Bin / Toh, Kenny / Singh, Vivek / Yung, Karmen / Cheng, Wen-hao / Borodovsky, Yan et al. | 2008
- 69240J
-
Applications of TM polarized illuminationSmith, Bruce / Zhou, Jianming / Xie, Peng et al. | 2008
- 69240K
-
Enabling technology scaling with "in production" lithography processesJhaveri, Tejas / Strojwas, Andrzej / Pileggi, Larry / Rovner, Vyachelav et al. | 2008
- 69240L
-
Hyper-NA imaging of 45nm node random CH layouts using inverse lithographyHendrickx, E. / Tritchkov, A. / Sakajiri, K. / Granik, Y. / Kempsell, M. / Vandenberghe, G. et al. | 2008
- 69240M
-
Patterning strategy and performance of 1.3NA tool for 32nm node lithographyMimotogi, Shoji / Satake, Masaki / Kitamura, Yosuke / Takahata, Kazuhiro / Kodera, Katsuyoshi / Fujise, Hiroharu / Ema, Tatsuhiko / Sho, Koutaro / Ishigo, Kazutaka / Kono, Takuya et al. | 2008
- 69240O
-
Post-decomposition assessment of double patterning layoutsRubinstein, Juliet / Neureuther, Andrew R. et al. | 2008
- 69240P
-
Alternative process schemes for double patterning that eliminate the intermediate etch stepMaenhoudt, M. / Gronheid, R. / Stepanenko, N. / Matsuda, T. / Vangoidsenhoven, D. et al. | 2008
- 69240Q
-
Double patterning down to k1=0.15 with bilayer resistNoelscher, Christoph / Jauzion-Graverolle, Franck / Heller, Marcel / Markert, Matthias / Hong, Bee-Kim / Egger, Ulrich / Temmler, Dietmar et al. | 2008
- 69240R
-
Double patterning requirements for optical lithography and prospects for optical extension without double patterningHazelton, Andrew J. / Wakamoto, Shinji / Hirukawa, Shigeru / McCallum, Martin / Magome, Nobutaka / Ishikawa, Jun / Lapeyre, Céline / Guilmeau, Isabelle / Barnola, Sébastien / Gaugiran, Stéphanie et al. | 2008
- 69240S
-
Making a trillion pixels danceSingh, Vivek / Hu, Bin / Toh, Kenny / Bollepalli, Srinivas / Wagner, Stephan / Borodovsky, Yan et al. | 2008
- 69240T
-
Validation of inverse lithography technology (ILT) and its adaptive SRAF at advanced technology nodesPang, Linyong / Dai, Grace / Cecil, Tom / Dam, Thuc / Cui, Ying / Hu, Peter / Chen, Dongxue / Baik, Ki-Ho / Peng, Danping et al. | 2008
- 69240U
-
General imaging of advanced 3D mask objects based on the fully-vectorial extended Nijboer-Zernike (ENZ) theoryvan Haver, Sven / Janssen, Olaf T. A. / Braat, Joseph J. M. / Janssen, Augustus J. E. M. / Urbach, H. Paul / Pereira, Silvania F. et al. | 2008
- 69240V
-
Radiometric consistency in source specifications for lithographyRosenbluth, Alan E. / Tirapu Azpiroz, Jaione / Lai, Kafai / Tian, Kehan / Melville, David O.S. / Totzeck, Michael / Blahnik, Vladan / Koolen, Armand / Flagello, Donis et al. | 2008
- 69240W
-
Coupled-dipole modelling for 3D mask simulationTemchenko, Vlad / Lim, Chinteong / Wallis, Dave / Schneider, Jens / Niehoff, Martin et al. | 2008
- 69240X
-
Generalized inverse problem for partially coherent projection lithographyDavids, Paul S. / Bollepalli, Srinivas B. et al. | 2008
- 69240Y
-
Massively-parallel FDTD simulations to address mask electromagnetic effects in hyper-NA immersion lithographyTirapu Azpiroz, Jaione / Burr, Geoffrey W. / Rosenbluth, Alan E. / Hibbs, Michael et al. | 2008
- 69240Z
-
Polarization characteristics of state-of-art lithography optics reconstructed from on-body measurementFujii, Toru / Kogo, Jun / Suzuki, Kosuke / Sawada, Masayasu et al. | 2008
- 69241A
-
The rapid introduction of immersion lithography for NAND flash: challenges and experienceWu, Chan-Tsun / Lin, Hung Ming / Wu, Wei-Ming / Chan, Meng-Hsun / Lin, Benjamin S. / Lin, Kuan-Heng / Hazelton, Andrew J. / Ohhashi, Toshio / Nakano, Katsushi / Iriuchijima, Yasuhiro et al. | 2008
- 69241B
-
Immersion defect performance and particle control method for 45nm mass productionChibana, Takahito / Kobayashi, Masamichi / Nakano, Hitoshi / Arakawa, Mikio / Matsuoka, Yoichi / Kawasaki, Youji / Tanabe, Masayuki / Oda, Hirohisa et al. | 2008
- 69241C
-
Development of a computational lithography roadmapChen, J. Fung / Liu, Hua-Yu / Laidig, Thomas / Zuniga, Christian / Cao, Yu / Socha, Robert et al. | 2008
- 69241D
-
Analysis of OPC optical model accuracy with detailed scanner informationZavyalova, Lena / Lucas, Kevin / Zhang, Qiaolin / Fan, Yongfa / Sethi, Satyendra / Song, Hua / Tyminski, Jacek et al. | 2008
- 69241E
-
Hybrid Hopkins-Abbe method for modeling oblique angle mask effects in OPCAdam, Konstantinos / Lam, Michael C. et al. | 2008
- 69241F
-
Robust PPC and DFM methodology for exposure tool variationsKotani, Toshiya / Nakajima, Fumiharu / Mashita, Hiromitsu / Sato, Kazuya / Tanaka, Satoshi / Inoue, Soichi et al. | 2008
- 69241G
-
Fabrication of defect-free full-field pixelated phase maskCheng, Wen-Hao / Farnsworth, Jeff / Kwok, Wai / Jamieson, Andrew / Wilcox, Nathan / Vernon, Matt / Yung, Karmen / Liu, Yi-Ping / Kim, Jun / Frendberg, Eric et al. | 2008
- 69241H
-
Advanced OPC and 2D verification for tip engineering using aggressive illuminationsZhang, X. / Lukanc, T. / Yang, H. / Ward, B. et al. | 2008
- 69241I
-
Optimization procedure of exposure tools with polarization aberrationsArai, Tadashi / Yamada, Akihiro / Mori, Kenichiro / Osaki, Yoshinori / Yoshihara, Toshiyuki / Hasegawa, Yasuo et al. | 2008
- 69241J
-
Proposal for determining exposure latitude requirementsLevinson, Harry J. / Ma, Yuansheng / Koenig, Marcel / La Fontaine, Bruno / Seltmann, Rolf et al. | 2008
- 69241K
-
Influence of shot noise on CDU with DUV, EUV, and E-beamPan, Zhih-Yu / Chen, Chun-Kuang / Gau, Tsai-Sheng / Lin, Burn J. et al. | 2008
- 69241L
-
Determining DOF requirements needed to meet technology process assumptionsGabor, Allen / Brendler, Andrew / Liegl, Bernhard / Brodsky, Colin / Lembach, Gerhard / Mansfield, Scott / Mishra, Shailendra / Brunner, Timothy / Wiltshire, Timothy / Menon, Vinayan et al. | 2008
- 69241M
-
Extending scatterometry to the measurements of sub 40 nm features, double patterning structures, and 3D OPC patternsKritsun, Oleg / La Fontaine, Bruno / Liu, Yongdong / Saravanan, Chandra S. et al. | 2008
- 69241N
-
Recent performance results of Nikon immersion lithography toolsHazelton, Andrew J. / Shiraishi, Kenichi / Wakamoto, Shinji / Ishii, Yuuki / Okumura, Masahiko / Magome, Nobutaka / Suzuki, Hiroyuki et al. | 2008
- 69241O
-
Performance of the FPA-7000AS7 1.35 NA immersion exposure system for 45-nm mass productionYoshimura, Keiji / Nakano, Hitoshi / Hata, Hideo / Deguchi, Nobuyoshi / Kobayashi, Masamichi / Ebihara, Takeaki / Kawanobe, Yoshio / Kanda, Tsuneo et al. | 2008
- 69241P
-
Latest developments on immersion exposure systemsMulkens, Jan / de Klerk, Jos / Leenders, Martijn / de Jong, Fred / Cromwijk, Jan W. et al. | 2008
- 69241Q
-
Tool-to-tool optical proximity effect matchingVan Look, L. / Bekaert, Joost / De Bisschop, Peter / Van de Kerkhove, Jeroen / Vandenberghe, Geert / Schreel, Koen / Menger, Jasper / Schiffelers, Guido / Knols, Edwin / Willekers, Rob et al. | 2008
- 69241R
-
XLR 600i: recirculating ring ArF light source for double patterning immersion lithographyFleurov, Vladimir / Rokitski, Slava / Bergstedt, Robert / Ye, Hong / O’Brien, Kevin / Jacques, Robert / Trintchouk, Fedor / Figueroa, Efrain / Cacouris, Theodore / Brown, Daniel et al. | 2008
- 69241S
-
An intelligent imaging system for ArF scannerMatsuyama, Tomoyuki / Ohmura, Yasuhiro / Nakashima, Toshiharu / Uehara, Yusaku / Ogata, Taro / Nishinaga, Hisashi / Ikezawa, Hironori / Toki, Tsuyoshi / Rokitski, Slava / Bonafede, James et al. | 2008
- 69241T
-
In-situpolarimetry of illumination for 193-nm lithographyNomura, Hiroshi / Furutono, Yohko et al. | 2008
- 69241U
-
Understanding illumination effects for control of optical proximity effects (OPE)Flagello, Donis G. / Geh, Bernd / Socha, Robert / Liu, Peng / Cao, Yu / Stas, Roland / Natt, Oliver / Zimmermann, Jörg et al. | 2008
- 69241V
-
Thermal aberration control in projection lensNakashima, Toshiharu / Ohmura, Yasuhiro / Ogata, Taro / Uehara, Yusaku / Nishinaga, Hisashi / Matsuyama, Tomoyuki et al. | 2008
- 69241W
-
Imaging performance optimization for hyper-NA scanner systems in high volume productionvan de Kerkhof, Mark / van Setten, Eelco / Engelen, Andre / Plachecki, Vincent / Liu, Hua-yu / Schmitt-Weaver, Emil / Rooijakkers, Wilbert / Simon, Klaus et al. | 2008
- 69241X
-
Monitoring polarization at 193nm high-numerical aperture with phase shift masks: experimental results and industrial outlookMcIntyre, Gregory / Tu, Richard et al. | 2008
- 69241Y
-
A comprehensive comparison between double patterning and double patterning with spacer on sub-50nm product implementationTseng, C. F. / Yang, C. C. / Yang, Elvis / Yang, T. H. / Chen, K. C. / Lu, C. Y. et al. | 2008
- 69241Z
-
100 nm half-pitch double exposure KrF lithography using binary masksGeisler, S. / Bauer, J. / Haak, U. / Stolarek, D. / Schulz, K. / Wolf, H. / Meier, W. / Trojahn, M. / Matthus, E. et al. | 2008
- 69242A
-
An analysis of double exposure lithography optionsLee, Saul / Byers, Jeffrey / Jen, Kane / Zimmerman, Paul / Rice, Bryan / Turro, Nicholas J. / Willson, C. Grant et al. | 2008
- 69242B
-
Double printing through the use of ion implantationSamarakone, Nandasiri / Yick, Paul / Zawadzki, Mary / Choi, Sang-Jun et al. | 2008
- 69242C
-
30nm half-pitch metal patterning using Moti CD shrink technique and double patterningVersluijs, Janko / De Marneffe, J.-F. / Goossens, Danny / Op de Beeck, Maaike / Vandeweyer, Tom / Wiaux, Vincent / Struyf, Herbert / Maenhoudt, Mireille / Brouri, Mohand / Vertommen, Johan et al. | 2008
- 69242D
-
Enabling 35nm double patterning contact imaging using a novel CD shrink processYamada, Yoshiaki / Crouse, Michael M. / Dunn, Shannon / Kawasaki, Tetsu / Shimura, Satoru / Nishimura, Eiichi / Tanaka, Yoshitsugu / Galloway, Judy / Pierson, Bill / Routh, Robert et al. | 2008
- 69242F
-
Prediction of imaging performance of immersion lithography using high refractive index fluidSato, Takashi / Itoh, Masamitsu / Mimotogi, Akiko / Mimotogi, Shoji / Sato, Kazuya / Tanaka, Satoshi et al. | 2008
- 69242G
-
Contrast management of 193i interferometry to be close to scanners contrast conditionsLagrange, Alexandre / Bandelier, Philippe / Charpin, Christelle / Lartigue, Olivier et al. | 2008
- 69242H
-
Immersion exposure system using high-index materialsSakai, Keita / Iwasaki, Yuichi / Mori, Sunao / Yamada, Akihiro / Ogusu, Makoto / Yamashita, Keiji / Nishikawara, Tomofumi / Tanaka, Takatoshi / Hasegawa, Noriyasu / Hara, Shin-ichi et al. | 2008
- 69242I
-
Continuing 193nm optical lithography for 32nm imaging and beyondPiscani, Emil C. / Ashworth, Dominic / Byers, Jeff / Van Peski, Chris / Zimmerman, Paul / Rice, Bryan J. et al. | 2008
- 69242J
-
Novel refractive optics enable multipole off-axis illuminationBizjak, T. / Mitra, T. / Hauschild, D. / Aschke, L. et al. | 2008
- 69242K
-
45nm node logic device OPE matching between exposure tools through laser bandwidth tuningYoshimochi, Kazuyuki / Tamura, Takao / Nagahara, Seiji / Uchiyama, Takayuki / Farrar, Nigel / Oga, Toshihiro / Bonafede, James et al. | 2008
- 69242L
-
Fluoride single crystals for the next generation lithographyNawata, Teruhiko / Inui, Yoji / Mabuchi, Toshiro / Mochizuki, Naoto / Masada, Isao / Nishijima, Eiichi / Sato, Hiroki / Fukuda, Tsuguo et al. | 2008
- 69242O
-
An improved process for manufacturing diffractive optical elements (DOEs) for off-axis illumination systemsLeonard, Jerry / Carriere, James / Stack, Jared / Jones, Rich / Himel, Marc / Childers, John / Welch, Kevin et al. | 2008
- 69242P
-
A novel photo-thermal setup for determination of absorptance losses and wavefront deformations in DUV opticsMann, K. / Bayer, A. / Leinhos, U. / Miege, T. / Schäfer, B. et al. | 2008
- 69242Q
-
Performance demonstration of significant availability improvement in lithography light sources using GLX control systemO'Brien, Kevin / Dunstan, Wayne J. / Riggs, Daniel / Ratnam, Aravind / Jacques, Robert / Besaucele, Herve / Brown, Daniel / Zhang, Kevin / Farrar, Nigel et al. | 2008
- 69242R
-
Reliable high power injection locked 6 kHz 60W laser for ArF immersion lithographyKumazaki, Takahito / Suzuki, Toru / Tanaka, Satoshi / Nohdomi, Ryoichi / Yoshino, Masaya / Matsumoto, Shinichi / Kawasuji, Yasufumi / Umeda, Hiroshi / Nagano, Hitoshi / Kakizaki, Kouji et al. | 2008
- 69242S
-
High-power and high-energy stability injection lock laser light source for double exposure or double patterning ArF immersion lithographyYoshino, Masaya / Nakarai, Hiroaki / Ohta, Takeshi / Nagano, Hitoshi / Umeda, Hiroshi / Kawasuji, Yasufumi / Abe, Toru / Nohdomi, Ryoichi / Suzuki, Toru / Tanaka, Satoshi et al. | 2008
- 69242T
-
Uniaxial crystal last optical element for second- and third-generation immersion lithographySirat, Gabriel Y. / Goldstein, Michael et al. | 2008
- 69242U
-
Impact of optimization conditions on the result at optimizing illumination and maskTsujita, Koichiro / Mikami, Koji / Ishii, Hiroyuki / Suzuki, Akiyoshi et al. | 2008
- 69242V
-
Understanding and application of constructive, destructive SRAFChin, Fook L. / Lukanc, Todd P. et al. | 2008
- 69242W
-
90nm node contact hole patterning through applying model based OPC in KrF lithographyJeon, Young-Doo / Lee, Sang-Uk / Choi, Jaeyoung / Kim, Jeahee / Han, Jaewon et al. | 2008
- 69242X
-
Manufacturing implementation of 32nm SRAM using ArF immersion with RETLee, Sho-Shen / Wu, Cheng-Han / Huang, Yongfa / Huang, Chien-Hui / Huang, Hung-Chin / Huang, George KC / Yu, Chun-Chi / Hsu, Michael / Shieh, Simon / Hsu, Stephen et al. | 2008
- 69242Y
-
Random 65nm..45nm C/H printing using optimized illumination source and CD sizing by post processingFinders, Jo / Van der Heijden, Eddy / Janssen, Gert-Jan / Vangheluwe, Rik / Shibata, Tsuysohi / Naitou, Ryouichirou / Kosugi, Hitoshi / Sugimachi, Hisanori et al. | 2008
- 69242Z
-
Study of SRAF placement for contact at 45 nm and 32 nm nodeFarys, V. / Robert, F. / Martinelli, C. / Trouiller, Y. / Sundermann, F. / Gardin, C. / Planchot, J. / Kerrien, G. / Vautrin, F. / Saied, M. et al. | 2008
- 69243A
-
60nm half pitch contact layer printing: exploring the limits at 1.35NA lithographyBekaert, Joost / Hendrickx, Eric / Vandenberghe, Geert et al. | 2008
- 69243B
-
Combined mask and illumination scheme optimization for robust contact patterning on 45nm technology node flash memory devicesVaglio Pret, Alessandro / Capetti, Gianfranco / Bollin, Maddalena / Cotti, Gina / De Simone, Danilo / Cantù, Pietro / Vaccaro, Alessandro / Soma, Laura et al. | 2008
- 69243C
-
32 nm logic patterning options with immersion lithographyLai, K. / Burns, S. / Halle, S. / Zhuang, L. / Colburn, M. / Allen, S. / Babcock, C. / Baum, Z. / Burkhardt, M. / Dai, V. et al. | 2008
- 69243D
-
Consideration of VT5 etch-based OPC modelingLim, ChinTeong / Temchenko, Vlad / Kaiser, Dieter / Meusel, Ingo / Schmidt, Sebastian / Schneider, Jens / Niehoff, Martin et al. | 2008
- 69243E
-
Optimized OPC approach for process window improvementWang, Ching-Heng / Liu, Qingwei / Zhang, Liguo et al. | 2008
- 69243G
-
The comparison of OPC performance and run time for dense versus sparse solutionsAbdo, Amr / Stobert, Ian / Viswanathan, Ramya / Burns, Ryan / Herold, Klaus / Kallingal, Chidam / Meiring, Jason / Oberschmidt, James / Mansfield, Scott et al. | 2008
- 69243H
-
Advanced mask process modeling for 45-nm and 32-nm nodesTejnil, Edita / Hu, Yuanfang / Sahouria, Emile / Schulze, Steffen / Tian, Ming Jing / Guo, Eric et al. | 2008
- 69243I
-
An efficient and robust mask model for lithography simulationZhu, Zhenhai / Schmidt, Frank et al. | 2008
- 69243J
-
Reticle CD error calibrated OPC model generationKim, Youngmi / Choi, Jae-Young / Kim, Jong-Doo / Kim, Jeahee / Han, Jae-Won et al. | 2008
- 69243K
-
Modeling of focus blur in the context of optical proximity correctionZhang, Qiaolin / Song, Hua / Lucas, Kevin / Shiely, James et al. | 2008
- 69243L
-
Full chip compensation for local-flare-induced CD error using OPC/DRC methodChoi, Jae-Young / Shim, Yeon-Ah / Yun, Kyung-Hee / Kim, Jong-Doo / Kim, Jae-Hee / Han, Jae-Won et al. | 2008
- 69243M
-
Development of layout split algorithms and printability evaluation for double patterning technologyChiou, Tsann-Bim / Socha, Robert / Chen, Hong / Chen, Luoqi / Hsu, Stephen / Nikolsky, Peter / van Oosten, Anton / Chen, Alek C. et al. | 2008
- 69243N
-
Optical proximity correction with principal component regressionGao, Peiran / Gu, Allan / Zakhor, Avideh et al. | 2008
- 69243O
-
OPC optimization for double dipole lithography and its application on 45nm node with dry exposurePark, Se-Jin / Seo, Jae-Kyung / Li, ChengHe / Liu, Daisy / An, Petros / Kang, Xiao-Hui / Guo, Eric et al. | 2008
- 69243P
-
Novel method for optimizing lithography exposure conditions using full-chip post-OPC simulationSturtevant, John / Jayaram, Srividya / Hong, Le / Drozdov, Alexandre et al. | 2008
- 69243Q
-
Optical proximity correction for elongated contact-hole printingKim, Young-Chang / Kim, Sangwook / Suh, Sungsoo / Cheon, Yongjin / Lee, Sukjoo / Lee, Junghyeon / Choi, Seong-Woon / Han, Woosung / Lee, Sooryong / Koo, Kyoil et al. | 2008
- 69243R
-
Study of the mask topography effect on the OPC modeling of hole patternsShim, Seong-bo / Kim, Young-chang / Lee, Suk-joo / Choi, Seong-woon / Han, Woo-sung et al. | 2008
- 69243S
-
Evaluation of OPC test patterns using parameter sensitivityWard, Brian S. et al. | 2008
- 69243T
-
Pellicle effect on OPC modelingLuo, Boren / Chang, Chi-Kang / Wang, W. L. / Huang, W. C. / Wu, Timothy / Lai, C. W. / Liu, R. G. / Lin, H. T. / Chen, K. S. / Ku, Y. C. et al. | 2008
- 69243U
-
OPC model calibration considerations for data varianceBahnas, Mohamed / Al-Imam, Mohamed et al. | 2008
- 69243V
-
Pattern centric OPC flow: a special RET flow with fast turn-around-timeWang, Tom / Wu, Joanne / Liu, Qingwei / Zhang, Gary / Wang, Benny / Su, Bo / Cheng, Guojie et al. | 2008
- 69243W
-
Extreme mask corrections: technology and benefitsGranik, Yuri / Cobb, Nick / Medvedev, Dmitry et al. | 2008
- 69243X
-
Variable loading kernels for OPC modelingTsai, S. L. / Lo, Fred / Yang, Elvis / Yang, T. H. / Chen, K. C. / Lu, C. Y. et al. | 2008
- 69243Y
-
Impact of medium and long range effects on poly gate patterningTagliavini, Manuel / Annoni, Elisabetta / Cantù, Pietro / Capetti, Gianfranco / Catarisano, Chiara / Colombo, Roberto / Magri, Giovanni / Ravasio, Marcello / Zanderigo, Federica et al. | 2008
- 69243Z
-
Design of automatic controllers for model-based OPC with optimal resist threshold determination for improving correction convergenceSu, Yi-Sheng / Ng, Philip C. W. / Tsai, Kuen-Yu / Chen, Yung-Yaw et al. | 2008
- 69244A
-
Reflection control in hyper-NA immersion lithographyZhu, Zhimin / Piscani, Emil / Edwards, Kevin / Smith, Brian et al. | 2008
- 69244B
-
Resolution enhancement techniques in 65 nm node nested-hole patterningLee, Hyesung / Choi, Jaeyoung / Kim, Jeahee / Han, Jaewon / Kim, Keun-Young et al. | 2008
- 69244C
-
32nm overlay improvement capabilitiesEichelberger, Brad / Huang, Kevin / O'Brien, Kelly / Tien, David / Tsai, Frank / Minvielle, Anna / Singh, Lovejeet / Schefske, Jeffrey et al. | 2008
- 69244E
-
22nm half-pitch patterning by CVD spacer self alignment double patterning (SADP)Bencher, Chris / Chen, Yongmei / Dai, Huixiong / Montgomery, Warren / Huli, Lior et al. | 2008
- 69244F
-
Reflectivity-induced variation in implant layer lithographyBailey, Todd C. / McIntyre, Greg / Zhang, Bidan / Deschner, Ryan P. / Mehta, Sohan / Song, Won / Lee, Hyung-Rae / Hue, Yu / Brodsky, MaryJane et al. | 2008
- 69244G
-
Investigation of mechanism of pattern deformation on TiN substrate and O2 plasma effect without BARCMoon, Juhyoung / Yun, Young-Je / Yang, Taek-seung / Choi, Kwangseon / Kim, Jeahee / Han, Jaewon et al. | 2008
- 69244H
-
Rigorous modeling and analysis of impact produced by microstructures in mask on wafer pattern fidelityPundaleva, Irina / Chalykh, Roman / Lee, MyoungSoo / Kim, HeeBom / Kim, ByungGook / Cho, HanKu et al. | 2008
- 69244I
-
The flash memory battle: How low can we go?van Setten, Eelco / Wismans, Onno / Grim, Kees / Finders, Jo / Dusa, Mircea / Birkner, Robert / Richter, Rigo / Scherübl, Thomas et al. | 2008
- 69244J
-
Measuring layer-specific depth-of-focus requirementsLiegl, Bernhard / Gabor, Allen / Brodsky, Colin / Cotte, John / Krishnan, Mahadevaiyer et al. | 2008
- 69244K
-
Effects of laser bandwidth on tool to tool CD matchingHsueh, Bo-Yun / Wu, Hung-Yi / Jang, Louis / Yeh, Met / Yang, Chen-Chin / Huang, George K.C. / Yu, Chun-Chi / Chang, Allen et al. | 2008
- 69244M
-
Improving lithography intra wafer CD for C045 implant layers using STI thickness feed forward?Massin, Jean / Orlando, Bastien / Gatefait, Maxime / Chapon, Jean-Damien / Le-Gratiet, Bertrand / Minghetti, Blandine / Goirand, Pierre-Jérôme et al. | 2008
- 69244N
-
More on practical solutions to eliminate reticle haze and extend reticle life in the production environment: specially designed RSPs, internal POD purifiers, and XCDA purged reticle stockersGoodwin, William / Welch, Matt / Laquidara, Bruce / Kishkovich, Oleg / Habecker, A. et al. | 2008
- 69244O
-
Monitoring defects at wafer's edge for improved immersion lithography performanceRobinson, Chris / Bright, Jeff / Corliss, Dan / Guse, Mike / Lang, Bob / Mack, George et al. | 2008
- 69244P
-
Modeling the work piece charging during e-beam lithographyAlles, Benjamin / Cotte, Eric / Simeon, Bernd / Wandel, Timo et al. | 2008
- 69244Q
-
SEM-contour based mask modelingVasek, Jim / Tejnil, Edita / Kusnadi, Ir / Lindman, Ofer / Menadeva, Ovadya / Peltinov, Ram et al. | 2008
- 69244R
-
Integration of high-speed surface-channel charge coupled devices into an SOI CMOS process using strong phase shift lithographyKnecht, Jeffrey / Bolkhovsky, Vladimir / Sage, Jay / Tyrrell, Brian / Wheeler, Bruce / Wynn, Charles et al. | 2008
- 69244S
-
32 nm 1:1 line and space patterning by resist reflow processPark, Joon-Min / Jeong, Heejun / An, Ilsin / Oh, Hye-Keun et al. | 2008
- 69244T
-
Optimum dose variation caused by post exposure bake temperature difference inside photoresist over different sublayers and thicknessKang, Young-Min / An, Ilsin / Kim, Do Wan / Oh, Hye-Keun et al. | 2008
- 69244V
-
The analysis of optical lithography at 2-dimensional dense structurePark, Chanha / Eom, Tae-Seung / Shin, Hyejin / Yang, Kiho / Choi, Jinyoung / Kim, Jinsoo / Kim, Hyeongsoo / Yim, Donggyu / Kim, Jinwoong et al. | 2008
- 69244W
-
Image contrast contributions to immersion lithography defect formation and process yieldRathsack, Ben / Hooge, Josh / Scheer, Steven / Nafus, Kathleen / Hatakeyama, Shinichi / Kouichi, Hontake / Kitano, Junichi / Van Den Heuval, Dieter / Leray, Philippe / Hendrickx, Eric et al. | 2008
- 69244X
-
Demonstration of production readiness of an immersion lithography cellBeccalli, Alberto / Canestrari, Paolo / Goeke, Mark / Kanaoka, Masashi / Kandraschow, Helmut / Kuroda, Takuya / De Simone, Danilo / Piacentini, Paolo / Padovani, Miriam / Piazza, Paolo et al. | 2008
- 69244Y
-
High-speed microlithography aerial image simulation without four-dimensional singular-value decompositionChen, Charlie Chung-Ping et al. | 2008
- 69244Z
-
Coupled eigenmode theory applied to thick mask modeling of TM polarized imagingAllen, Gary / Davids, Paul et al. | 2008
- 692401
-
Front Matter: Volume 6924| 2008
- 692402
-
If it moves, simulate it!Neureuther, Andrew et al. | 2008
- 692403
-
Interactions of double patterning technology with wafer processing, OPC and design flowsLucas, Kevin / Cork, Chris / Miloslavsky, Alex / Luk-Pat, Gerry / Barnes, Levi / Hapli, John / Lewellen, John / Rollins, Greg / Wiaux, Vincent / Verhaegen, Staf et al. | 2008
- 692404
-
Toward 3nm overlay and critical dimension uniformity: an integrated error budget for double patterning lithography (Keynote Paper) [6924-03]Arnold, W.H. / Society of Photo-optical Instrumentation Engineers / International SEMATECH et al. | 2008
- 692404
-
Toward 3nm overlay and critical dimension uniformity: an integrated error budget for double patterning lithographyArnold, William H. et al. | 2008
- 692406
-
A study of CD budget in spacer patterning technologyMukai, Hidefumi / Shiobara, Eishi / Takahashi, Shinya / Hashimoto, Kohji et al. | 2008
- 692406
-
A study of CD budget in spacer patterning technology [6924-05]Mukai, H. / Shiobara, E. / Takahashi, S. / Hashimoto, K. / Society of Photo-optical Instrumentation Engineers / International SEMATECH et al. | 2008
- 692408
-
Double patterning for 32nm and below: an updateFinders, Jo / Dusa, Mircea / Vleeming, Bert / Megens, Henry / Hepp, Birgitt / Maenhoudt, Mireille / Cheng, Shaunee / Vandeweyer, Tom et al. | 2008
- 692409
-
Split and design guidelines for double patterningWiaux, Vincent / Verhaegen, Staf / Cheng, Shaunee / Iwamoto, Fumio / Jaenen, Patrick / Maenhoudt, Mireille / Matsuda, Takashi / Postnikov, Sergei / Vandenberghe, Geert et al. | 2008
- 692410
-
Extended Nijboer-Zernike (ENZ) based mask imaging: efficient coupling of electromagnetic field solvers and the ENZ imaging algorithmJanssen, Olaf T. A. / van Haver, Sven / Janssen, Augustus J. E. M. / Braat, Joseph J. M. / Urbach, H. Paul / Pereira, Silvania F. et al. | 2008
- 692411
-
Evaluating the accuracy of a calibrated rigorous physical resist model under various process and illumination conditionsRobertson, Stewart A. / Kim, Byung-Sung / Choi, Woon-Hyuk / Kim, Yoo-Hyon / Biafore, John J. / Smith, Mark D. et al. | 2008
- 692412
-
High refractive index materials design for the next generation ArF immersion lithographyFurukawa, Taiichi / Kishida, Takanori / Yasuda, Kyouyuu / Shimokawa, Tsutomu / Liu, Zhi / Slezak, Mark / Hieda, Katsuhiko et al. | 2008
- 692413
-
Studies of high index immersion lithographyOhmura, Yasuhiro / Nagasaka, Hiroyuki / Matsuyama, Tomoyuki / Nakashima, Toshiharu / Kobayashi, Teruki / Ueda, Motoi / Owa, Soichi et al. | 2008
- 692413
-
Studies of high index immersion lithography [6924-38]Ohmura, Y. / Nagasaka, H. / Matsuyama, T. / Nakashima, T. / Kobayashi, T. / Ueda, M. / Owa, S. / Society of Photo-optical Instrumentation Engineers / International SEMATECH et al. | 2008
- 692415
-
High-n immersion lithographySewell, Harry / Mulkens, Jan / Graeupner, Paul / McCafferty, Diane / Markoya, Louis / Donders, Sjoerd / Cortie, Rogier / Meijers, Ralph / Evangelista, Fabrizio / Samarakone, Nandarisi et al. | 2008
- 692416
-
High-index immersion lithography: preventing lens photocontamination and identifying optical behavior of LuAGLiberman, V. / Rothschild, M. / Palmacci, S. T. / Bristol, R. / Byers, J. / Turro, N. J. / Lei, X. / O'Connor, N. / Zimmerman, P. A. et al. | 2008
- 692417
-
High-index immersion fluids enabling cost-effective single-exposure lithography for 32 nm half pitchesFrench, Roger H. / Tran, Hoang V. / Adelman, Doug J. / Rogado, Nyrissa S. / Kaku, Mureo / Mocella, Michael / Chen, Charles Y. / Hendrickx, Eric / Van Roey, Freida / Bernfeld, Adam S. et al. | 2008
- 692418
-
Immersion defectivity study with volume production immersion lithography tool for 45 nm node and belowNakano, Katsushi / Nagaoka, Shiro / Yoshida, Masato / Iriuchijima, Yasuhiro / Fujiwara, Tomoharu / Shiraishi, Kenichi / Owa, Soichi et al. | 2008
- 692419
-
Focus, dynamics, and defectivity performance at wafer edge in immersion lithographyTamura, Takao / Onoda, Naka / Fujita, Masafumi / Uchiyama, Takayuki et al. | 2008
- 692420
-
Double patterning using dual spin-on Si containing layers with multilayer hard mask processTerai, Mamoru / Ishibashi, Takeo / Shinohara, Masaaki / Yonekura, Kazumasa / Hagiwara, Takuya / Hanawa, Tetsuro / Kumada, Teruhiko et al. | 2008
- 692421
-
45nm and 32nm half-pitch patterning with 193nm dry lithography and double patterningDai, Huixiong / Bencher, Chris / Chen, Yongmei / Woo, Hyungje / Ngai, Chris / Xu, Xumou et al. | 2008
- 692422
-
A new OPC method for double patterning technologyPan, Yijie / Zhang, Hongbo / Chen, Ye et al. | 2008
- 692423
-
Study of ELS technology for random logic LSI toward 32-nm nodeSetta, Yuji / Morishita, Kazumasa / Kobayashi, Katsuyoshi / Chijimatsu, Tatsuo / Asai, Satoru et al. | 2008
- 692424
-
Double patterning in lithography for 65nm node with oxidation processJeong, Eunsoo / Kim, Jeahee / Choi, Kwangsun / Lee, Minkon / Lee, Doosung / Kim, Myungsoo / Park, Chansik et al. | 2008
- 692425
-
Precise CD control techniques for double patterning and sidewall transferNishimura, Eiichi / Kushibiki, Masato / Yatsuda, Koichi et al. | 2008
- 692426
-
Fabrication of contact/via holes for 32-nm technology device using cost-effective RIE CD shrink process and double patterning techniqueKushibiki, Masato / Nishimura, Eiichi / Yatsuda, Koichi et al. | 2008
- 692426
-
Fabrication of contact/via holes for 32-nm technology device using cost-effective RIE CD shrink process and double patterning technique [6924-77]Kushibiki, M. / Nishimura, E. / Yatsuda, K. / Society of Photo-optical Instrumentation Engineers / International SEMATECH et al. | 2008
- 692428
-
Double patterning overlay and CD budget for 32 nm technology nodeIessi, Umberto / Loi, Sara / Salerno, Antonio / Rigolli, Pierluigi / De Chiara, Elio / Turco, Catia / Colombo, Roberto / Polli, Marco / Mani, Antonio et al. | 2008
- 692429
-
Double exposure double etch for dense SRAM: a designer's dreamSarma, Chandra / Gabor, Allen / Halle, Scott / Haffner, Henning / Herold, Klaus / Tsou, Len / Wang, Helen / Zhuang, Haoren et al. | 2008
- 692430
-
Automated method of detecting SRAF and sidelobe printing with automated CD-SEM recipesColes, Mary / Choi, Yong Seok / Yang, Kyoungmo / Parker, Cindy / Self, Andy et al. | 2008
- 692431
-
Novel lithography rule check for full-chip side lobe detectionWu, T. S. / Yang, Elvis / Yang, T. H. / Chen, K. C. / Lu, C. Y. et al. | 2008
- 692432
-
Quasi-iso-focal hole pattern formation by Checker-Board PSM (CB-PSM)Nakao, S. / Maejima, S. / Minamide, A. / Saitoh, H. / Hanawa, T. / Suko, K. et al. | 2008
- 692433
-
Optimum biasing for 45 nm node chromeless and attenuated phase shift maskKang, Young-Min / Oh, Hye-Keun et al. | 2008
- 692434
-
Improvement of the common DoF across field for hole-structure process layersHou, Shu Huei / Huang, Edgar / Tseng, Aroma / Yeh, Met / Lin, Bill / Yu, Chun Chi / Lin, Eason et al. | 2008
- 692435
-
Customized illumination shapes for 193nm immersion lithographyLing, Moh Lung / Chua, Gek Soon / Lin, Qunying / Tay, Cho Jui / Quan, Chenggen et al. | 2008
- 692436
-
Binary and attenuated PSM mask evaluation for sub 50nm device development perspectiveMoon, James / Nam, Byoung-Sub / Jeong, Joo-Hong / Kong, Dong-Ho / Nam, Byung-Ho / Yim, Dong Gyu et al. | 2008
- 692437
-
Highly reliable detection and correction of pinched areas for high transmission phase shift maskChen, Chih Li / Liao, Chun-Cheng / Chou, Pin-Jan / Shih, Chiang Lin / Shih, Steven et al. | 2008
- 692438
-
Evaluation of inverse lithography technology for 55nm-node memory deviceCho, Byung-ug / Ko, Sung-woo / Choi, Jae-seung / Kim, Cheol-Kyun / Yang, Hyun-jo / Yim, DongGyu / Kim, David / Gleason, Bob / Baik, KiHo / Cui, Ying et al. | 2008
- 692439
-
Extension of low k1 lithography processes with KrF for 90nm technology nodeJun, Sungho / Jeong, Eunsoo / Yun, Youngje / Choi, Kwangseon / Kim, Jeahee / Han, Jaewon et al. | 2008
- 692442
-
OPC modeling setup with considering flare effectKim, Jong-doo / Choi, Jae-young / Kim, Jea-hee / Han, Jae-won et al. | 2008
- 692442
-
OPC modeling setup with considering flare effect [6924-153]Kim, J. / Choi, J. / Han, J. / Society of Photo-optical Instrumentation Engineers / International SEMATECH et al. | 2008
- 692445
-
Fitness and runtime correlation of compact model complexityDrozdov, Alexander N. / Kempsell, Monica L. / Granik, Yuri et al. | 2008
- 692446
-
AltPSM contact hole application at DRAM 4xnm nodes with dry 193nm lithographyNoelscher, Christoph / Henkel, Thomas / Jauzion-Graverolle, Franck / Hennig, Mario / Morgana, Nicolo / Schlief, Ralph / Moukara, Molela / Koehle, Roderick / Neubauer, Ralf et al. | 2008
- 692447
-
An approach for nanometer trench and hole formationWang, Zhongyan / Sun, Ming / Peng, Xilin / Boonstra, Thomas et al. | 2008
- 692448
-
Multi-patterning overlay controlAusschnitt, C. P. / Dasari, P. et al. | 2008
- 692449
-
Resist bias measured in Iso-focal structureLi, Jianliang / Wang, Chunqing / Kazarian, Aram / Yan, Qiliang / Melvin, Lawrence S. et al. | 2008
- 692450
-
A rigorous finite-element domain decomposition method for electromagnetic near field simulationsZschiedrich, Lin / Burger, Sven / Schädle, Achim / Schmidt, Frank et al. | 2008
- 692451
-
Influence of pellicle on hyper-NA imagingSato, Kazuya / Nagai, Satoshi / Shinichiro, Nakagawa / Sato, Takashi / Itoh, Masamitsu et al. | 2008
- 692452
-
Rigorous electromagnetic field simulation of two-beam interference exposures for the exploration of double patterning and double exposure scenariosErdmann, Andreas / Evanschitzky, Peter / Fühner, Tim / Schnattinger, Thomas / Xu, Cheng-Bai / Szmanda, Chuck et al. | 2008
- 692453
-
A simulation study on the impact of lithographic process variations on CMOS device performanceFühner, Tim / Kampen, Christian / Kodrasi, Ina / Burenkov, Alexander / Erdmann, Andreas et al. | 2008
-
Interactions of double patterning technology with wafer processing, OPC and design flows (Keynote Paper) [6924-02]Lucas, K. / Cork, C. / Miloslavsky, A. / Luk-Pat, G. / Barnes, L. / Hapli, J. / Lewellen, J. / Rollins, G. / Wiaux, V. / Verhaegen, S. et al. | 2008
-
Double patterning for 32nm and below: an update [6924-07]Finders, J. / Dusa, M. / Vleeming, B. / Megens, H. / Hepp, B. / Maenhoudt, M. / Cheng, S. / Vandeweyer, T. / Society of Photo-optical Instrumentation Engineers / International SEMATECH et al. | 2008
-
Post-decomposition assessment of double patterning layout [6924-23]Rubinstein, J. / Neureuther, A.R. / Society of Photo-optical Instrumentation Engineers / International SEMATECH et al. | 2008
-
Validation of inverse lithography technology (ILT) and its adaptive SRAF at advanced technology nodes [6924-28]Pang, L. / Dai, G. / Cecil, T. / Dam, T. / Cui, Y. / Hu, P. / Chen, D. / Baik, K.-H. / Peng, D. / Society of Photo-optical Instrumentation Engineers et al. | 2008
-
Immersion defect performance and particle control method for 45nm mass production [6924-46]Chibana, T. / Kobayashi, M. / Nakano, H. / Arakawa, M. / Matsuoka, Y. / Kawasaki, Y. / Tanabe, M. / Oda, H. / Society of Photo-optical Instrumentation Engineers / International SEMATECH et al. | 2008
-
Robust PPC and DFM methodology for exposure tool variations [6924-50]Kotani, T. / Nakajima, F. / Mashita, H. / Sato, K. / Tanaka, S. / Inoue, S. / Society of Photo-optical Instrumentation Engineers / International SEMATECH et al. | 2008
-
Fabrication of defect-free full-field pixelated phase mask [6924-51]Cheng, W.-H. / Farnsworth, J. / Kwok, W. / Jamieson, A. / Wilcox, N. / Vernon, M. / Yung, K. / Liu, Y.-P. / Kim, J. / Frendberg, E. et al. | 2008
-
Influence of shot noise on CDU with DUV, EUV, and E-beam [6924-55]Pan, Z.-Y. / Chen, C.-K. / Gau, T.-S. / Lin, B.J. / Society of Photo-optical Instrumentation Engineers / International SEMATECH et al. | 2008
-
Recent performance results of Nikon immersion lithography tools [6924-58]Hazelton, A.J. / Shiraishi, K. / Wakamoto, S. / Ishii, Y. / Okumura, M. / Magome, N. / Suzuki, H. / Society of Photo-optical Instrumentation Engineers / International SEMATECH et al. | 2008
-
Double patterning using dual spin-on Si containing layers with multilayer hard mask process [6924-71]Terai, M. / Ishibashi, T. / Shinohara, M. / Yonekura, K. / Hagiwara, T. / Hanawa, T. / Kumada, T. / Society of Photo-optical Instrumentation Engineers / International SEMATECH et al. | 2008
-
Consideration of VT5 etch-based OPC modeling [6924-121]Lim, C.T. / Temchenko, V. / Kaiser, D. / Meusel, I. / Schmidt, S. / Schneider, J. / Niehoff, M. / Society of Photo-optical Instrumentation Engineers / International SEMATECH et al. | 2008
-
An efficient and robust mask model for lithography simulation [6924-126]Zhu, Z. / Schmidt, F. / Society of Photo-optical Instrumentation Engineers / International SEMATECH et al. | 2008
-
Pellicle effect on OPC modeling [6924-142]Luo, B. / Chang, C.-K. / Wang, W.L. / Huang, W.C. / Wu, T. / Lai, C.W. / Liu, R.G. / Lin, H.T. / Chen, K.S. / Ku, Y.C. et al. | 2008
-
Evaluation of OPC test patterns using parameter sensitivity [6924-140]Ward, B.S. / Society of Photo-optical Instrumentation Engineers / International SEMATECH et al. | 2008
-
Pattern centric OPC flow: a special RET flow with fast turn-around-time [6924-146]Wang, T. / Wu, J. / Liu, Q. / Zhang, G. / Wang, B. / Su, B. / Cheng, G. / Society of Photo-optical Instrumentation Engineers / International SEMATECH et al. | 2008
-
The flash memory battle: How low can we go? [6924-174]van Setten, E. / Wismans, O. / Grim, K. / Finders, J. / Dusa, M. / Birkner, R. / Richter, R. / Scherubl, T. / Society of Photo-optical Instrumentation Engineers / International SEMATECH et al. | 2008
-
Effects of laser bandwidth on tool to tool CD matching [6924-176]Hsueh, B.-Y. / Wu, H.-Y. / Jang, L. / Yeh, M. / Yang, C.-C. / Huang, G.K.C. / Yu, C.-C. / Chang, A. / Society of Photo-optical Instrumentation Engineers / International SEMATECH et al. | 2008
-
A simulation study on the impact of lithographic process variations on CMOS device performance [6924-197]Fuhner, T. / Kampen, C. / Kodrasi, I. / Burenkov, A. / Erdmann, A. / Society of Photo-optical Instrumentation Engineers / International SEMATECH et al. | 2008
-
High-index immersion lithography: preventing lens photocontamination and identifying optical behavior of LuAG [6924-41]Liberman, V. / Rothschild, M. / Palmacci, S.T. / Bristol, R. / Byers, J. / Turro, N.J. / Lei, X. / O Connor, N. / Zimmerman, P.A. / Society of Photo-optical Instrumentation Engineers et al. | 2008
-
Double patterning overlay and CD budget for 32 nm technology node [6924-79]Iessi, U. / Loi, S. / Salerno, A. / Rigolli, P. / De Chiara, E. / Turco, C. / Colombo, R. / Polli, M. / Mani, A. / Society of Photo-optical Instrumentation Engineers et al. | 2008
-
Contrast management of 193i interferometry to be close to scanners contrast conditions [6924-88]Lagrange, A. / Bandelier, P. / Charpin, C. / Lartigue, O. / Society of Photo-optical Instrumentation Engineers / International SEMATECH et al. | 2008
-
Understanding and application of constructive, destructive SRAF [6924-102]Chin, F.L. / Lukanc, T.P. / Society of Photo-optical Instrumentation Engineers / International SEMATECH et al. | 2008
-
Evaluation of inverse lithography technology for 55nm-node memory device [6924-117]Cho, B. / Ko, S. / Choi, J. / Kim, C.-K. / Yang, H. / Yim, D. / Kim, D. / Gleason, B. / Baik, K. / Cui, Y. et al. | 2008
-
Advanced mask process modeling for 45-nm and 32-nm nodes [6924-125]Tejnil, E. / Hu, Y. / Sahouria, E. / Schulze, S. / Tian, M.J. / Guo, E. / Society of Photo-optical Instrumentation Engineers / International SEMATECH et al. | 2008
-
Optical proximity correction with principal component regression [6924-133]Gao, P. / Gu, A. / Zakhor, A. / Society of Photo-optical Instrumentation Engineers / International SEMATECH et al. | 2008
-
OPC optimization for double dipole lithography and its application on 45nm node with dry exposure [6924-135]Park, S.-J. / Seo, J.-K. / Li, C. / Liu, D. / An, P. / Kang, X.-H. / Guo, E. / Society of Photo-optical Instrumentation Engineers / International SEMATECH et al. | 2008
-
An approach for nanometer trench and hole formation [6924-160]Wang, Z. / Sun, M. / Peng, X. / Boonstra, T. / Society of Photo-optical Instrumentation Engineers / International SEMATECH et al. | 2008
-
32nm overlay improvement capabilities [6924-166]Eichelberger, B. / Huang, K. / O Brien, K. / Tien, D. / Tsai, F. / Minvielle, A. / Singh, L. / Schefske, J. / Society of Photo-optical Instrumentation Engineers / International SEMATECH et al. | 2008
-
Monitoring defects at wafer's edge for improved immersion lithography performance [6924-181]Robinson, C. / Bright, J. / Corliss, D. / Guse, M. / Lang, B. / Mack, G. / Society of Photo-optical Instrumentation Engineers / International SEMATECH et al. | 2008
-
Integration of high-speed surface-channel charge coupled devices into an SOI CMOS process using strong phase shift lithography [6924-184]Knecht, J. / Bolkhovsky, V. / Sage, J. / Tyrrell, B. / Wheeler, B. / Wynn, C. / Society of Photo-optical Instrumentation Engineers / International SEMATECH et al. | 2008
-
The analysis of optical lithography at 2-dimensional dense structure [6924-188]Park, C. / Eom, T.-S. / Shin, H. / Yang, K. / Choi, J. / Kim, J. / Kim, H. / Yim, D. / Society of Photo-optical Instrumentation Engineers / International SEMATECH et al. | 2008
-
Determining DOF requirements needed to meet technology process assumptions [6924-56]Gabor, A. / Brendler, A. / Liegl, B. / Brodsky, C. / Lembach, G. / Mansfield, S. / Mishra, S. / Brunner, T. / Wiltshire, T. / Menon, V. et al. | 2008
-
Optimization procedure of exposure tools with polarization aberrations [6924-53]Arai, T. / Yamada, A. / Mori, K. / Osaki, Y. / Yoshihara, T. / Hasegawa, Y. / Society of Photo-optical Instrumentation Engineers / International SEMATECH et al. | 2008
-
A new OPC method for double patterning technology [6924-73]Pan, Y. / Zhang, H. / Chen, Y. / Society of Photo-optical Instrumentation Engineers / International SEMATECH et al. | 2008
-
Performance demonstration of significant availability improvement in lithography light sources using GLX control system [6924-100]O Brien, K. / Dunstan, W.J. / Riggs, D. / Ratnam, A. / Jacques, R. / Besaucele, H. / Brown, D. / Zhang, K. / Farrar, N. / Society of Photo-optical Instrumentation Engineers et al. | 2008
-
A novel photo-thermal setup for determination of absorptance losses and wavefront deformations in DUV optics [6924-98]Mann, K. / Bayer, A. / Leinhos, U. / Miege, T. / Schafer, B. / Society of Photo-optical Instrumentation Engineers / International SEMATECH et al. | 2008
-
90nm node contact hole patterning through applying model based OPC in KrF lithography [6924-103]Jeon, Y.-D. / Lee, S.-U. / Choi, J. / Kim, J. / Han, J. / Society of Photo-optical Instrumentation Engineers / International SEMATECH et al. | 2008
-
Binary and attenuated PSM mask evaluation for sub 50nm device development perspective [6924-115]Moon, J. / Nam, B.-S. / Jeong, J.-H. / Kong, D.-H. / Nam, B.-H. / Yim, D.G. / Society of Photo-optical Instrumentation Engineers / International SEMATECH et al. | 2008
-
Extension of low k1 lithography processes with KrF for 90nm technology node [6924-118]Jun, S. / Jeong, E. / Yun, Y. / Choi, K. / Kim, J. / Han, J. / Society of Photo-optical Instrumentation Engineers / International SEMATECH et al. | 2008
-
Study of the mask topography effect on the OPC modeling of hole patterns [6924-139]Shim, S. / Kim, Y. / Lee, S. / Choi, S. / Han, W. / Society of Photo-optical Instrumentation Engineers / International SEMATECH et al. | 2008
-
Fitness and runtime correlation of compact model complexity [6924-158]Drozdov, A.N. / Kempsell, M.L. / Granik, Y. / Society of Photo-optical Instrumentation Engineers / International SEMATECH et al. | 2008
-
Reflectivity-induced variation in implant layer lithography [6924-171]Bailey, T.C. / McIntyre, G. / Zhang, B. / Deschner, R.P. / Mehta, S. / Song, W. / Lee, H.-R. / Hue, Y. / Brodsky, M. / Society of Photo-optical Instrumentation Engineers et al. | 2008
-
Investigation of mechanism of pattern deformation on TiN substrate and O2 plasma effect without BARC [6924-172]Moon, J. / Yun, Y.-J. / Yang, T. / Choi, K. / Kim, J.-H. / Han, J. / Society of Photo-optical Instrumentation Engineers / International SEMATECH et al. | 2008
-
Modeling the work piece charging during e-beam lithography [6924-182]Alles, B. / Cotte, E. / Simeon, B. / Wandel, T. / Society of Photo-optical Instrumentation Engineers / International SEMATECH et al. | 2008
-
Enabling technology scaling with ``in production'' lithography processes [6924-19]Jhaveri, T. / Strojwas, A. / Pileggi, L. / Rovner, V. / Society of Photo-optical Instrumentation Engineers / International SEMATECH et al. | 2008
-
Radiometric consistency in source specifications for lithography [6924-30]Rosenbluth, A.E. / Azpiroz, J.T. / Lai, K. / Tian, K. / Melville, D.O.S. / Totzeck, M. / Blahnik, V. / Koolen, A. / Flagello, D. / Society of Photo-optical Instrumentation Engineers et al. | 2008
-
Double exposure double etch for dense SRAM: a designer's dream [6924-80]Sarma, C. / Gabor, A. / Halle, S. / Haffner, H. / Herold, K. / Tsou, L. / Wang, H. / Zhuang, H. / Society of Photo-optical Instrumentation Engineers / International SEMATECH et al. | 2008
-
30nm half-pitch metal patterning using Moti CD shrink technique and double patterning [6924-83]Versluijs, J. / De Marneffe, J.-F. / Goossens, D. / de Beeck, M.O. / Vandeweyer, T. / Wiaux, V. / Struyf, H. / Maenhoudt, M. / Brouri, M. / Vertommen, J. et al. | 2008
-
Enabling 35nm double patterning contact imaging using a novel CD shrink process [6924-84]Yamada, Y. / Crouse, M.M. / Dunn, S. / Kawasaki, T. / Shimura, S. / Nishimura, E. / Tanaka, Y. / Galloway, J. / Pierson, B. / Routh, R. et al. | 2008
-
Impact of optimization conditions on the result at optimizing illumination and mask [6924-101]Tsujita, K. / Mikami, K. / Ishii, H. / Suzuki, A. / Society of Photo-optical Instrumentation Engineers / International SEMATECH et al. | 2008
-
Random 65nm..45nm C/H printing using optimized illumination source and CD sizing by post processing [6924-106]Finders, J. / Van der Heijden, E. / Janssen, G.-J. / Vangheluwe, R. / Shibata, T. / Naitou, R. / Kosugi, H. / Sugimachi, H. / Society of Photo-optical Instrumentation Engineers / International SEMATECH et al. | 2008
-
Quasi-iso-focal hole pattern formation by Checker-Board PSM (CB-PSM) [6924-111]Nakao, S. / Maejima, S. / Minamide, A. / Saitoh, H. / Hanawa, T. / Suko, K. / Society of Photo-optical Instrumentation Engineers / International SEMATECH et al. | 2008
-
Impact of medium and long range effects on poly gate patterning [6924-149]Tagliavini, M. / Annoni, E. / Cantu, P. / Capetti, G. / Catarisano, C. / Colombo, R. / Magri, G. / Ravasio, M. / Zanderigo, F. / Society of Photo-optical Instrumentation Engineers et al. | 2008
-
Rigorous modeling and analysis of impact produced by microstructures in mask on wafer pattern fidelity [6924-173]Pundaleva, I. / Chalykh, R. / Lee, M. / Kim, H. / Kim, B. / Cho, H. / Society of Photo-optical Instrumentation Engineers / International SEMATECH et al. | 2008
-
A rigorous finite-element domain decomposition method for electromagnetic near field simulations [6924-193]Zschiedrich, L. / Burger, S. / Schadle, A. / Schmidt, F. / Society of Photo-optical Instrumentation Engineers / International SEMATECH et al. | 2008
-
High-speed microlithography aerial image simulation without four-dimensional singular-value decomposition [6924-191]Chen, C.C.-P. / Society of Photo-optical Instrumentation Engineers / International SEMATECH et al. | 2008
-
If it moves, simulate it! (Keynote Paper) [6924-01]Neureuther, A. / Society of Photo-optical Instrumentation Engineers / International SEMATECH et al. | 2008
-
Generalized inverse problem for partially coherent projection lithography [6924-32]Davids, P.S. / Bollepalli, S.B. / Society of Photo-optical Instrumentation Engineers / International SEMATECH et al. | 2008
-
Understanding illumination effects for control of optical proximity effects (OPE) [6924-65]Flagello, D.G. / Geh, B. / Socha, R. / Liu, P. / Cao, Y. / Stas, R. / Natt, O. / Zimmermann, J. / Society of Photo-optical Instrumentation Engineers / International SEMATECH et al. | 2008
-
Imaging performance optimization for hyper-NA scanner systems in high volume production [6924-67]van de Kerkhof, M. / van Setten, E. / Engelen, A. / Plachecki, V. / Liu, H. / Schmitt-Weaver, E. / Rooijakkers, W. / Simon, K. / Society of Photo-optical Instrumentation Engineers / International SEMATECH et al. | 2008
-
Double patterning in lithography for 65nm node with oxidation process [6924-75]Jeong, E. / Kim, J. / Choi, K. / Lee, M. / Lee, D. / Kim, M. / Park, C. / Society of Photo-optical Instrumentation Engineers / International SEMATECH et al. | 2008
-
Double printing through the use of ion implantation [6924-82]Samarakone, N. / Yick, P. / Zawadzki, M. / Choi, S.-J. / Society of Photo-optical Instrumentation Engineers / International SEMATECH et al. | 2008
-
High-power and high-energy stability injection lock laser light source for double exposure or double patterning ArF immersion lithography [6924-199]Yoshino, M. / Nakarai, H. / Ohta, T. / Nagano, H. / Umeda, H. / Kawasuji, Y. / Abe, T. / Nohdomi, R. / Suzuki, T. / Tanaka, S. et al. | 2008
-
Manufacturing implementation of 32nm SRAM using ArF immersion with RET [6924-105]Lee, S.-S. / Wu, C.-H. / Huang, Y. / Huang, C.-H. / Huang, H.-C. / Huang, G.K.C. / Yu, C.-C. / Hsu, M. / Shieh, S. / Hsu, S. et al. | 2008
-
Optimized OPC approach for process window improvement [6924-122]Wang, C.-H. / Liu, Q. / Zhang, L. / Society of Photo-optical Instrumentation Engineers / International SEMATECH et al. | 2008
-
More on practical solutions to eliminate reticle haze and extend reticle life in the production environment: specially designed RSPs, internal POD purifiers, and XCDA purged reticle stockers [6924-180]Goodwin, W. / Welch, M. / Laquidara, B. / Kishkovich, O. / Habecker, A. / Society of Photo-optical Instrumentation Engineers / International SEMATECH et al. | 2008
-
Influence of pellicle on hyper-NA imaging [6924-195]Sato, K. / Nagai, S. / Shinichiro, N. / Sato, T. / Itoh, M. / Society of Photo-optical Instrumentation Engineers / International SEMATECH et al. | 2008
-
Negative and iterated spacer lithography processes for low variability and ultra-dense integration [6924-10]Carlson, A. / Liu, T.-J.K. / Society of Photo-optical Instrumentation Engineers / International SEMATECH et al. | 2008
-
Applications of TM polarized illumination [6924-18]Smith, B. / Zhou, J. / Xie, P. / Society of Photo-optical Instrumentation Engineers / International SEMATECH et al. | 2008
-
Double patterning requirements for optical lithography and prospects for optical extension without double patterning [6924-26]Hazelton, A.J. / Wakamoto, S. / Hirukawa, S. / McCallum, M. / Magome, N. / Ishikawa, J. / Lapeyre, C. / Guilmeau, I. / Barnola, S. / Gaugiran, S. et al. | 2008
-
Coupled-dipole modelling for 3D mask simulation [6924-31]Temchenko, V. / Lim, C. / Wallis, D. / Schneider, J. / Niehoff, M. / Society of Photo-optical Instrumentation Engineers / International SEMATECH et al. | 2008
-
Evaluating the accuracy of a calibrated rigorous physical resist model under various process and illumination conditions [6924-36]Robertson, S.A. / Kim, B.-S. / Choi, W.-H. / Kim, Y.-H. / Biafore, J.J. / Smith, M.D. / Society of Photo-optical Instrumentation Engineers / International SEMATECH et al. | 2008
-
Analysis of OPC optical model accuracy with detailed scanner information [6924-48]Zavyalova, L. / Lucas, K. / Zhang, Q. / Fan, Y. / Sethi, S. / Song, H. / Tyminski, J. / Society of Photo-optical Instrumentation Engineers / International SEMATECH et al. | 2008
-
XLR 600i: recirculating ring ArF light source for double patterning immersion lithography [6924-62]Fleurov, V. / Rokitski, S. / Bergstedt, R. / Ye, H. / O Brien, K. / Jacques, R. / Trintchouk, F. / Figueroa, E. / Cacouris, T. / Brown, D. et al. | 2008
-
Precise CD control techniques for double patterning and sidewall transfer [6924-76]Nishimura, E. / Kushibiki, M. / Yatsuda, K. / Society of Photo-optical Instrumentation Engineers / International SEMATECH et al. | 2008
-
45nm node logic device OPE matching between exposure tools through laser bandwidth tuning [6924-92]Yoshimochi, K. / Tamura, T. / Nagahara, S. / Uchiyama, T. / Farrar, N. / Oga, T. / Bonafede, J. / Society of Photo-optical Instrumentation Engineers / International SEMATECH et al. | 2008
-
Fluoride single crystals for the next generation lithography [6924-93]Nawata, T. / Inui, Y. / Mabuchi, T. / Mochizuki, N. / Masada, I. / Nishijima, E. / Sato, H. / Fukuda, T. / Society of Photo-optical Instrumentation Engineers / International SEMATECH et al. | 2008
-
Study of SRAF placement for contact at 45 nm and 32 nm node [6924-107]Farys, V. / Robert, F. / Martinelli, C. / Trouiller, Y. / Sundermann, F. / Gardin, C. / Planchot, J. / Kerrien, G. / Vautrin, F. / Saied, M. et al. | 2008
-
Improvement of the common DoF across field for hole-structure process layers [6924-113]Hou, S.H. / Huang, E. / Tseng, A. / Yeh, M. / Lin, B. / Yu, C.C. / Lin, E. / Society of Photo-optical Instrumentation Engineers / International SEMATECH et al. | 2008
-
Combined mask and illumination scheme optimization for robust contact patterning on 45nm technology node flash memory devices [6924-120]Pret, A.V. / Capetti, G. / Bollin, M. / Cotti, G. / De Simone, D. / Cantu, P. / Vaccaro, A. / Soma, L. / Society of Photo-optical Instrumentation Engineers / International SEMATECH et al. | 2008
-
32 nm logic patterning options with immersion lithography [6924-200]Lai, K. / Burns, S. / Halle, S. / Zhuang, L. / Colburn, M. / Allen, S. / Babcock, C. / Baum, Z. / Burkhardt, M. / Dai, V. et al. | 2008
-
The comparison of OPC performance and run time for dense versus sparse solutions [6924-124]Abdo, A. / Stobert, I. / Viswanathan, R. / Burns, R. / Herold, K. / Kallingal, C. / Meiring, J. / Oberschmidt, J. / Mansfield, S. / Society of Photo-optical Instrumentation Engineers et al. | 2008
-
Modeling of focus blur in the context of optical proximity correction [6924-129]Zhang, Q. / Song, H. / Lucas, K. / Shiely, J. / Society of Photo-optical Instrumentation Engineers / International SEMATECH et al. | 2008
-
Extreme mask corrections: technology and benefits [6924-147]Granik, Y. / Cobb, N. / Medvedev, D. / Society of Photo-optical Instrumentation Engineers / International SEMATECH et al. | 2008
-
Resist bias measured in Iso-focal structure [6924-162]Li, J. / Wang, C. / Kazarian, A. / Yan, Q. / Melvin, L.S. / Society of Photo-optical Instrumentation Engineers / International SEMATECH et al. | 2008
-
Image contrast contributions to immersion lithography defect formation and process yield [6924-189]Rathsack, B. / Hooge, J. / Scheer, S. / Nafus, K. / Hatakeyama, S. / Kouichi, H. / Kitano, J. / Van Den Heuval, D. / Leray, P. / Hendrickx, E. et al. | 2008
-
Pixelated phase mask as novel lithography RET [6924-13]Borodovsky, Y. / Cheng, W.-H. / Schenker, R. / Singh, V. / Society of Photo-optical Instrumentation Engineers / International SEMATECH et al. | 2008
-
Massively-parallel FDTD simulations to address mask electromagnetic effects in hyper-NA immersion lithography [6924-33]Azpiroz, J.T. / Burr, G.W. / Rosenbluth, A.E. / Hibbs, M. / Society of Photo-optical Instrumentation Engineers / International SEMATECH et al. | 2008
-
High refractive index materials design for the next generation ArF immersion lithography [6924-37]Furukawa, T. / Kishida, T. / Yasuda, K. / Shimokawa, T. / Liu, Z. / Slezak, M. / Hieda, K. / Society of Photo-optical Instrumentation Engineers / International SEMATECH et al. | 2008
-
Performance of the FPA-7000AS7 1.35 NA immersion exposure system for 45-nm mass production [6924-59]Yoshimura, K. / Nakano, H. / Hata, H. / Deguchi, N. / Kobayashi, M. / Ebihara, T. / Kawanobe, Y. / Kanda, T. / Society of Photo-optical Instrumentation Engineers / International SEMATECH et al. | 2008
-
Monitoring polarization at 193nm high-numerical aperture with phase shift masks: experimental results and industrial outlook [6924-68]McIntyre, G. / Tu, R. / Society of Photo-optical Instrumentation Engineers / International SEMATECH et al. | 2008
-
Reliable high power injection locked 6 kHz 60W laser for ArF immersion lithography [6924-198]Kumazaki, T. / Suzuki, T. / Tanaka, S. / Nohdomi, R. / Yoshino, M. / Matsumoto, S. / Kawasuji, Y. / Umeda, H. / Nagano, H. / Kakizaki, K. et al. | 2008
-
Uniaxial crystal last optical element for second- and third-generation immersion lithography [6924-201]Sirat, G.Y. / Goldstein, M. / Society of Photo-optical Instrumentation Engineers / International SEMATECH et al. | 2008
-
Automated method of detecting SRAF and sidelobe printing with automated CD-SEM recipes [6924-109]Coles, M. / Choi, Y.S. / Yang, K. / Parker, C. / Self, A. / Society of Photo-optical Instrumentation Engineers / International SEMATECH et al. | 2008
-
Novel lithography rule check for full-chip side lobe detection [6924-110]Wu, T.S. / Yang, E. / Yang, T.H. / Chen, K.C. / Lu, C.Y. / Society of Photo-optical Instrumentation Engineers / International SEMATECH et al. | 2008
-
Optimum biasing for 45 nm node chromeless and attenuated phase shift mask [6924-112]Kang, Y.-M. / Oh, H.-K. / Society of Photo-optical Instrumentation Engineers / International SEMATECH et al. | 2008
-
Highly reliable detection and correction of pinched areas for high transmission phase shift mask [6924-116]Chen, C.L. / Liao, C.-C. / Chou, P.-J. / Shih, C.L. / Shih, S. / Society of Photo-optical Instrumentation Engineers / International SEMATECH et al. | 2008
-
Multi-patterning overlay control [6924-161]Ausschnitt, C.P. / Dasari, P. / Society of Photo-optical Instrumentation Engineers / International SEMATECH et al. | 2008
-
22nm half-pitch patterning by CVD spacer self alignment double patterning (SADP) [6924-169]Bencher, C. / Chen, Y. / Dai, H. / Montgomery, W. / Huli, L. / Society of Photo-optical Instrumentation Engineers / International SEMATECH et al. | 2008
-
32 nm 1:1 line and space patterning by resist reflow process [6924-185]Park, J.-M. / Jeong, H. / An, I. / Oh, H.-K. / Society of Photo-optical Instrumentation Engineers / International SEMATECH et al. | 2008
-
Comparative study of binary intensity mask and attenuated phase shift mask using hyper-NA immersion lithography for sub-45nm era [6924-16]Eom, T.-S. / Park, J.-T. / Kang, J.-H. / Park, S. / Koo, S. / Kim, J.-S. / Lee, B.-H. / Lim, C.-M. / Kim, H. / Moon, S.-C. et al. | 2008
-
High-index immersion fluids enabling cost-effective single-exposure lithography for 32 nm half pitches [6924-42]French, R.H. / Tran, H.V. / Adelman, D.J. / Rogado, N.S. / Kaku, M. / Mocella, M. / Chen, C.Y. / Hendrickx, E. / Van Roey, F. / Bernfeld, A.S. et al. | 2008
-
Advanced OPC and 2D verification for tip engineering using aggressive illuminations [6924-52]Zhang, X. / Lukanc, T. / Yang, H. / Ward, B. / Society of Photo-optical Instrumentation Engineers / International SEMATECH et al. | 2008
-
60nm half pitch contact layer printing: exploring the limits at 1.35NA lithography [6924-119]Bekaert, J. / Hendrickx, E. / Vandenberghe, G. / Society of Photo-optical Instrumentation Engineers / International SEMATECH et al. | 2008
-
Design of automatic controllers for model-based OPC with optimal resist threshold determination for improving correction convergence [6924-150]Su, Y.-S. / Ng, P.C.W. / Tsai, K.-Y. / Chen, Y.-Y. / Society of Photo-optical Instrumentation Engineers / International SEMATECH et al. | 2008
-
AltPSM contact hole application at DRAM 4xnm nodes with dry 193nm lithography [6924-159]Noelscher, C. / Henkel, T. / Jauzion-Graverolle, F. / Hennig, M. / Morgana, N. / Schlief, R. / Moukara, M. / Koehle, R. / Neubauer, R. / Society of Photo-optical Instrumentation Engineers et al. | 2008
-
Measuring layer-specific depth-of-focus requirements [6924-175]Liegl, B. / Gabor, A. / Brodsky, C. / Cotte, J. / Krishnan, M. / Society of Photo-optical Instrumentation Engineers / International SEMATECH et al. | 2008
-
Optimum dose variation caused by post exposure bake temperature difference inside photoresist over different sublayers and thickness [6924-186]Kang, Y.-M. / An, I. / Kim, D.W. / Oh, H.-K. / Society of Photo-optical Instrumentation Engineers / International SEMATECH et al. | 2008
-
Coupled eigenmode theory applied to thick mask modeling of TM polarized imaging [6924-192]Allen, G. / Davids, P. / Society of Photo-optical Instrumentation Engineers / International SEMATECH et al. | 2008
-
PDL oxide enabled pitch doubling [6924-12]Shamma, N. / Chou, W.-B. / Kalinovski, I. / Schlosser, D. / Mountsier, T. / Mui, C. / Tarafdar, R. / van Schravendijk, B. / Society of Photo-optical Instrumentation Engineers / International SEMATECH et al. | 2008
-
Hyper-NA imaging of 45nm node random CH layouts using inverse lithography [6924-20]Hendrickx, E. / Tritchkov, A. / Sakajiri, K. / Granik, Y. / Kempsell, M. / Vandenberghe, G. / Society of Photo-optical Instrumentation Engineers / International SEMATECH et al. | 2008
-
High-n immersion lithography [6924-40]Sewell, H. / Mulkens, J. / Graeupner, P. / McCafferty, D. / Markoya, L. / Donders, S. / Cortie, R. / Meijers, R. / Evangelista, F. / Samarakone, N. et al. | 2008
-
Immersion defectivity study with volume production immersion lithography tool for 45 nm node and below [6924-43]Nakano, K. / Nagaoka, S. / Yoshida, M. / Iriuchijima, Y. / Fujiwara, T. / Shiraishi, K. / Owa, S. / Society of Photo-optical Instrumentation Engineers / International SEMATECH et al. | 2008
-
Development of a computational lithography roadmap [6924-47]Chen, J.F. / Liu, H.-Y. / Laidig, T. / Zuniga, C. / Cao, Y. / Socha, R. / Society of Photo-optical Instrumentation Engineers / International SEMATECH et al. | 2008
-
Hybrid Hopkins-Abbe method for modeling oblique angle mask effects in OPC [6924-49]Adam, K. / Lam, M.C. / Society of Photo-optical Instrumentation Engineers / International SEMATECH et al. | 2008
-
Proposal for determining exposure latitude requirements [6924-54]Levinson, H.J. / Ma, Y. / Koenig, M. / La Fontaine, B. / Seltmann, R. / Society of Photo-optical Instrumentation Engineers / International SEMATECH et al. | 2008
-
An intelligent imaging system for ArF scanner [6924-63]Matsuyama, T. / Ohmura, Y. / Nakashima, T. / Uehara, Y. / Ogata, T. / Nishinaga, H. / Ikezawa, H. / Toki, T. / Rokitski, S. / Bonafede, J. et al. | 2008
-
In-situ polarimetry of illumination for 193-nm lithography [6924-64]Nomura, H. / Furutono, Y. / Society of Photo-optical Instrumentation Engineers / International SEMATECH et al. | 2008
-
45nm and 32nm half-pitch patterning with 193nm dry lithography and double patterning [6924-72]Dai, H. / Bencher, C. / Chen, Y. / Woo, H. / Ngai, C. / Xu, X. / Society of Photo-optical Instrumentation Engineers / International SEMATECH et al. | 2008
-
Prediction of imaging performance of immersion lithography using high refractive index fluid [6924-86]Sato, T. / Itoh, M. / Mimotogi, A. / Mimotogi, S. / Sato, K. / Tanaka, S. / Society of Photo-optical Instrumentation Engineers / International SEMATECH et al. | 2008
-
Immersion exposure system using high-index materials (Best Student Paper Award) [6924-89]Sakai, K. / Iwasaki, Y. / Mori, S. / Yamada, A. / Ogusu, M. / Yamashita, K. / Nishikawara, T. / Tanaka, T. / Hasegawa, N. / Hara, S. et al. | 2008
-
Full chip compensation for local-flare-induced CD error using OPC/DRC method [6924-130]Choi, J.-Y. / Shim, Y.-A. / Yun, K.-H. / Kim, J.-D. / Kim, J.-H. / Han, J.-W. / Society of Photo-optical Instrumentation Engineers / International SEMATECH et al. | 2008
-
OPC model calibration considerations for data variance [6924-144]Bahnas, M. / Al-Imam, M. / Society of Photo-optical Instrumentation Engineers / International SEMATECH et al. | 2008
-
Resolution enhancement techniques in 65 nm node nested-hole patterning [6924-164]Lee, H. / Choi, J. / Kim, J. / Han, J.-W. / Kim, K.-Y. / Society of Photo-optical Instrumentation Engineers / International SEMATECH et al. | 2008
-
Double patterning of contact array with carbon polymer [6924-11]Jung, W.-Y. / Sim, G.-H. / Kim, S.-M. / Kim, C.-D. / Jeon, S.-M. / Kim, K. / Park, S.-W. / Lee, B.-S. / Park, S.-K. / Cho, H.-H. et al. | 2008
-
Patterning strategy and performance of 1.3NA tool for 32nm node lithography [6924-21]Mimotogi, S. / Satake, M. / Kitamura, Y. / Takahata, K. / Kodera, K. / Fujise, H. / Ema, T. / Sho, K. / Ishigo, K. / Kono, T. et al. | 2008
-
Double patterning down to k1=0.15 with bilayer resist [6924-25]Noelscher, C. / Jauzion-Graverolle, F. / Heller, M. / Markert, M. / Hong, B.-K. / Egger, U. / Temmler, D. / Society of Photo-optical Instrumentation Engineers / International SEMATECH et al. | 2008
-
General imaging of advanced 3D mask objects based on the fully-vectorial extended Nijboer-Zernike (ENZ) theory [6924-29]van Haver, S. / Janssen, O.T.A. / Braat, J.J.M. / Janssen, A.J.E.M. / Urbach, H.P. / Pereira, S.F. / Society of Photo-optical Instrumentation Engineers / International SEMATECH et al. | 2008
-
Extending scatterometry to the measurements of sub 40 nm features, double patterning structures, and 3D OPC patterns [6924-57]Kritsun, O. / La Fontaine, B. / Liu, Y. / Saravanan, C.S. / Society of Photo-optical Instrumentation Engineers / International SEMATECH et al. | 2008
-
Tool-to-tool optical proximity effect matching [6924-61]Van Look, L. / Bekaert, J. / De Bisschop, P. / Van de Kerkhove, J. / Vandenberghe, G. / Schreel, K. / Menger, J. / Schiffelers, G. / Knols, E. / Willekers, R. et al. | 2008
-
Study of ELS technology for random logic LSI toward 32-nm node [6924-74]Setta, Y. / Morishita, K. / Kobayashi, K. / Chijimatsu, T. / Asai, S. / Society of Photo-optical Instrumentation Engineers / International SEMATECH et al. | 2008
-
Novel refractive optics enable multipole off-axis illumination [6924-91]Bizjak, T. / Mitra, T. / Hauschild, D. / Aschke, L. / Society of Photo-optical Instrumentation Engineers / International SEMATECH et al. | 2008
-
Novel method for optimizing lithography exposure conditions using full-chip post-OPC simulation [6924-136]Sturtevant, J. / Jayaram, S. / Hong, L. / Drozdov, A. / Society of Photo-optical Instrumentation Engineers / International SEMATECH et al. | 2008
-
Reflection control in hyper-NA immersion lithography [6924-163]Zhu, Z. / Piscani, E. / Edwards, K. / Smith, B. / Society of Photo-optical Instrumentation Engineers / International SEMATECH et al. | 2008
-
Improving lithography intra wafer CD for C045 implant layers using STI thickness feed forward? [6924-179]Massin, J. / Orlando, B. / Gatefait, M. / Chapon, J.-D. / Le-Gratiet, B. / Minghetti, B. / Goirand, P.-J. / Society of Photo-optical Instrumentation Engineers / International SEMATECH et al. | 2008
-
Demonstration of production readiness of an immersion lithography cell [6924-190]Beccalli, A. / Canestrari, P. / Goeke, M. / Kanaoka, M. / Kandraschow, H. / Kuroda, T. / De Simone, D. / Piacentini, P. / Padovani, M. / Piazza, P. et al. | 2008
-
Rigorous electromagnetic field simulation of two-beam interference exposures for the exploration of double patterning and double exposure scenarios [6924-196]Erdmann, A. / Evanschitzky, P. / Fuhner, T. / Schnattinger, T. / Xu, C.-B. / Szmanda, C. / Society of Photo-optical Instrumentation Engineers / International SEMATECH et al. | 2008
-
Double patterning combined with shrink technique to extend ArF lithography for contact holes to 22nm node and beyond [6924-09]Miao, X. / Huli, L. / Chen, H. / Xu, X. / Woo, H. / Bencher, C. / Shu, J. / Ngai, C. / Borst, C. / Society of Photo-optical Instrumentation Engineers et al. | 2008
-
Mask optimization for arbitrary patterns with 2D-TCC resolution enhancement technique [6924-14]Kawashima, M. / Yamazoe, K. / Sekine, Y. / Hakko, M. / Ohta, M. / Honda, T. / Society of Photo-optical Instrumentation Engineers / International SEMATECH et al. | 2008
-
Making a trillion pixels dance [6924-27]Singh, V. / Hu, B. / Toh, K. / Bollepalli, S. / Wagner, S. / Borodovsky, Y. / Society of Photo-optical Instrumentation Engineers / International SEMATECH et al. | 2008
-
Polarization characteristics of state-of-art lithography optics reconstructed from on-body measurement [6924-34]Fujii, T. / Kogo, J. / Suzuki, K. / Sawada, M. / Society of Photo-optical Instrumentation Engineers / International SEMATECH et al. | 2008
-
Extended Nijboer-Zernike (ENZ) based mask imaging: efficient coupling of electromagnetic field solvers and the ENZ imaging algorithm [6924-35]Janssen, O.T.A. / van Haver, S. / Janssen, A.J.E.M. / Braat, J.J.M. / Urbach, H.P. / Pereira, S.F. / Society of Photo-optical Instrumentation Engineers / International SEMATECH et al. | 2008
-
Focus, dynamics, and defectivity performance at wafer edge in immersion lithography [6924-44]Tamura, T. / Onoda, N. / Fujita, M. / Uchiyama, T. / Society of Photo-optical Instrumentation Engineers / International SEMATECH et al. | 2008
-
An analysis of double exposure lithography options [6924-81]Lee, S. / Byers, J. / Jen, K. / Zimmerman, P. / Rice, B. / Turro, N.J. / Willson, C.G. / Society of Photo-optical Instrumentation Engineers / International SEMATECH et al. | 2008
-
An improved process for manufacturing diffractive optical elements (DOEs) for off-axis illumination systems [6924-97]Leonard, J. / Carriere, J. / Stack, J. / Jones, R. / Himel, M. / Childers, J. / Welch, K. / Society of Photo-optical Instrumentation Engineers / International SEMATECH et al. | 2008
-
Split and design guidelines for double patterning [6924-08]Wiaux, V. / Verhaegen, S. / Cheng, S. / Iwamoto, F. / Jaenen, P. / Maenhoudt, M. / Matsuda, T. / Postnikov, S. / Vandenberghe, G. / Society of Photo-optical Instrumentation Engineers et al. | 2008
-
Integration of pixelated phase masks for full-chip random logic layers [6924-17]Schenker, R. / Bollepalli, S. / Hu, B. / Toh, K. / Singh, V. / Yung, K. / Cheng, W. / Borodovsky, Y. / Society of Photo-optical Instrumentation Engineers / International SEMATECH et al. | 2008
-
Alternative process schemes for double patterning that eliminate the intermediate etch step [6924-24]Maenhoudt, M. / Gronheid, R. / Stepanenko, N. / Matsuda, T. / Vangoidsenhoven, D. / Society of Photo-optical Instrumentation Engineers / International SEMATECH et al. | 2008
-
The rapid introduction of immersion lithography for NAND flash: challenges and experience [6924-45]Wu, C.-T. / Lin, H.M. / Wu, W.-M. / Chan, M.-H. / Lin, B.S.-M. / Lin, K.-H. / Hazelton, A.J. / Ohhashi, T. / Nakano, K. / Iriuchijima, Y. et al. | 2008
-
Latest developments on immersion exposure systems [6924-60]Mulkens, J. / de Klerk, J. / Leenders, M. / de Jong, F. / Cromwijk, J.W. / Society of Photo-optical Instrumentation Engineers / International SEMATECH et al. | 2008
-
Thermal aberration control in projection lens [6924-66]Nakashima, T. / Ohmura, Y. / Ogata, T. / Uehara, Y. / Nishinaga, H. / Matsuyama, T. / Society of Photo-optical Instrumentation Engineers / International SEMATECH et al. | 2008
-
A comprehensive comparison between double patterning and double patterning with spacer on sub-50nm product implementation [6924-69]Tseng, C.F. / Yang, C.C. / Yang, E. / Yang, T.H. / Chen, K.C. / Lu, C.Y. / Society of Photo-optical Instrumentation Engineers / International SEMATECH et al. | 2008
-
100 nm half-pitch double exposure KrF lithography using binary masks [6924-70]Geisler, S. / Bauer, J. / Haak, U. / Stolarek, D. / Schulz, K. / Wolf, H. / Meier, W. / Trojahn, M. / Matthus, E. / Society of Photo-optical Instrumentation Engineers et al. | 2008
-
Continuing 193nm optical lithography for 32nm imaging and beyond [6924-90]Piscani, E.C. / Ashworth, D. / Byers, J. / Van Peski, C. / Zimmerman, P. / Rice, B.J. / Society of Photo-optical Instrumentation Engineers / International SEMATECH et al. | 2008
-
Customized illumination shapes for 193nm immersion lithography [6924-114]Ling, M.L. / Chua, G.S. / Lin, Q. / Tay, C.J. / Quan, C. / Society of Photo-optical Instrumentation Engineers / International SEMATECH et al. | 2008
-
Reticle CD error calibrated OPC model generation [6924-127]Kim, Y. / Choi, J.-Y. / Kim, J.-D. / Kim, J. / Han, J.-W. / Society of Photo-optical Instrumentation Engineers / International SEMATECH et al. | 2008
-
Development of layout split algorithms and printability evaluation for double patterning technology [6924-131]Chiou, T.-B. / Socha, R. / Chen, H. / Chen, L. / Hsu, S. / Nikolsky, P. / van Oosten, A. / Chen, A.C. / Society of Photo-optical Instrumentation Engineers / International SEMATECH et al. | 2008
-
Optical proximity correction for elongated contact-hole printing [6924-138]Kim, Y.-C. / Kim, S. / Suh, S. / Cheon, Y. / Lee, S. / Lee, J. / Choi, S.-W. / Han, W. / Koo, K. / Society of Photo-optical Instrumentation Engineers et al. | 2008
-
Variable loading kernels for OPC modeling [6924-148]Tsai, S.L. / Lo, F. / Yang, E. / Yang, T.H. / Chen, K.C. / Lu, C.Y. / Society of Photo-optical Instrumentation Engineers / International SEMATECH et al. | 2008
-
SEM-contour based mask modeling [6924-183]Vasek, J. / Tejnil, E. / Kusnadi, I. / Lindman, O. / Menadeva, O. / Peltinov, R. / Society of Photo-optical Instrumentation Engineers / International SEMATECH et al. | 2008