Characteristics of diodes prepared using epitaxial CoSi~2 as a boron diffusion source (Englisch)
- Neue Suche nach: Kim, G. B.
- Neue Suche nach: Kwak, J. S.
- Neue Suche nach: Baik, H. K.
- Neue Suche nach: Lee, S.-M.
- Neue Suche nach: Kim, G. B.
- Neue Suche nach: Kwak, J. S.
- Neue Suche nach: Baik, H. K.
- Neue Suche nach: Lee, S.-M.
In:
JOURNAL OF VACUUM SCIENCE AND TECHNOLOGY B MICROELECTRONICS AND NANOMETER STRUCTURE
;
18
;
2576-2578
;
2000
-
ISSN:
- Aufsatz (Zeitschrift) / Print
-
Titel:Characteristics of diodes prepared using epitaxial CoSi~2 as a boron diffusion source
-
Beteiligte:Kim, G. B. ( Autor:in ) / Kwak, J. S. ( Autor:in ) / Baik, H. K. ( Autor:in ) / Lee, S.-M. ( Autor:in )
-
Erschienen in:
-
Verlag:
- Neue Suche nach: American Vacuum Society
-
Erscheinungsdatum:01.01.2000
-
Format / Umfang:3 pages
-
ISSN:
-
Medientyp:Aufsatz (Zeitschrift)
-
Format:Print
-
Sprache:Englisch
- Neue Suche nach: 621.55
- Weitere Informationen zu Dewey Decimal Classification
-
Klassifikation:
DDC: 621.55 -
Datenquelle:
© Metadata Copyright the British Library Board and other contributors. All rights reserved.
Inhaltsverzeichnis – Band 18
Zeige alle Jahrgänge und Ausgaben
Die Inhaltsverzeichnisse werden automatisch erzeugt und basieren auf den im Index des TIB-Portals verfügbaren Einzelnachweisen der enthaltenen Beiträge. Die Anzeige der Inhaltsverzeichnisse kann daher unvollständig oder lückenhaft sein.
- 1
-
Influence of the depth calibration procedure on the apparent shift of impurity depth profiles measured under conditions of long-term changes in erosion rateWittmaack, K. et al. | 2000
- 7
-
In situ atomic force microscopy observation on the decay of small islands on Au single crystal in acid solutionHirai, Nobumitsu / Watanabe, Ken-ichi / Shiraki, Akiko / Hara, Shigeta et al. | 2000
- 10
-
Preparation of macroscopic two-dimensional ordered array of indium nanodotsChen, S. H. / Fei, G. T. / Cui, P. / Cheng, G. S. / Zhu, Y. / Zhu, X. G. / Zeng, Z. Y. / Zhang, L. D. et al. | 2000
- 13
-
Growth and modification of Ag islands on hydrogen terminated Si(100) surfacesButcher, M. J. / Jones, F. H. / Beton, P. H. et al. | 2000
- 16
-
Comparative study of Ni nanowires patterned by electron-beam lithography and fabricated by lift-off and dry etching techniquesPark, Y. D. / Jung, K. B. / Overberg, M. / Temple, D. / Pearton, S. J. / Holloway, P. H. et al. | 2000
- 21
-
Photoluminescence study of InAIAs quantum dots grown on differently oriented surfacesZhou, W. / Xu, B. / Huai-zhe, X. / Wei-hong, J. / Feng-qi, L. / Gong, Q. / Ding, D. / Ji-ben, L. / Zhan-guo, W. / Zuo-ming, Z. et al. | 2000
- 21
-
Photoluminescence study of InAlAs quantum dots grown on differently oriented surfacesZhou, Wei / Xu, Bo / Xu, Huai-zhe / Jiang, Wei-hong / Liu, Feng-qi / Gong, Qian / Ding, Ding / Liang, Ji-ben / Wang, Zhan-guo / Zhu, Zuo-ming et al. | 2000
- 25
-
Fabrication and transport measurements of nanostructuresLarsson, P. / Nilsson, B. / Ivanov, Z. G. et al. | 2000
- 32
-
Low-permittivity nanocomposite materials using sculptured thin film technologyVenugopal, Vijayakumar C. / Lakhtakia, Akhlesh / Messier, Russell / Kucera, John-Paul et al. | 2000
- 37
-
Atomic force microscopy and transmission electron microscopy observations of KOH-etched GaN surfacesShiojima, Kenji et al. | 2000
- 41
-
Atomic force microscope study of amorphous silicon and polysilicon low-pressure chemical-vapor-deposited implanted layersEdrei, R. / Shauly, E. N. / Hoffman, A. et al. | 2000
- 48
-
Analysis of electron standing waves in a vacuum gap of scanning tunneling microscopy: Measurement of band bending through energy shifts of electron standing waveSuganuma, Y. / Tomitori, M. et al. | 2000
- 55
-
Atomic force microscope tip-induced anodization of titanium film for nanofabrication of oxide patternsHuh, Chul / Park, Seong-Ju et al. | 2000
- 60
-
Scanning tunneling microscopy of defects inProdan, A. / Marinković, V. / Gril, R. / Ramšak, N. / van Midden, H. J. P. / Boswell, F. W. / Bennett, J. C. et al. | 2000
- 64
-
Atomic force microscopy of solid-state reaction of alcohol: Substitution and dehydrationZeng, Qingdao / Wang, Chen / Bai, Chunli / Li, Yan / Yan, Xinjian et al. | 2000
- 69
-
Frictional properties of titanium carbide, titanium nitride, and vanadium carbide: Measurement of a compositional dependence with atomic force microscopyLee, Seunghwan / El-bjeirami, Oussama / Perry, Scott S. / Didziulis, Stephen V. / Frantz, Peter / Radhakrishnan, Gouri et al. | 2000
- 76
-
Scanning tunneling microscope study of diamond films for electron field emissionRakhimov, A. T. / Suetin, N. V. / Soldatov, E. S. / Timofeyev, M. A. / Trifonov, A. S. / Khanin, V. V. / Silzars, A. et al. | 2000
- 82
-
Electromagnetic transmission of nanometric circular aperture on top of a metal coated optical fiber tip: TheoryAlvarez, Lydia / Xiao, Mufei et al. | 2000
- 90
-
InP-based photonic micro-sensor for near field optical investigationsBélier, B. / Castagne, M. / Falgayrettes, P. / Bonnafé, J. / Santoso, A. / Leclercq, J. L. et al. | 2000
- 94
-
Megahertz silicon atomic force microscopy (AFM) cantilever and high-speed readout in AFM-based recordingHosaka, S. / Etoh, K. / Kikukawa, A. / Koyanagi, H. et al. | 2000
- 100
-
Cantilever technique for the preparation of cross sections for transmission electron microscopy using a focused ion beam workstationLangford, R. M. / Reeves, C. M. / Goodall, J. G. / Findlay, J. / Jeffree, C. E. et al. | 2000
- 104
-
Carbon-nanotube probe equipped magnetic force microscopeArie, Takayuki / Nishijima, Hidehiro / Akita, Seiji / Nakayama, Yoshikazu et al. | 2000
- 107
-
Effects of molecular properties on nanolithography in polymethyl methacrylateDobisz, Elizabeth A. / Brandow, Susan L. / Bass, Robert / Mitterender, Jeffrey et al. | 2000
- 112
-
Critical tool performance analysis for SCALPEL extensibilityStanton, Stuart T. / Liddle, J. Alexander / Waskiewicz, Warren K. / Mkrtchyan, Masis M. et al. | 2000
- 117
-
Resolution of the multiple aperture pixel by pixel enhancement of resolution electron lithography conceptKampherbeek, B. J. / Wieland, M. J. / Kruit, P. et al. | 2000
- 122
-
Thermostable trilayer resist for niobium lift-offDubos, P. / Charlat, P. / Crozes, Th. / Paniez, P. / Pannetier, B. et al. | 2000
- 127
-
Double-layer inorganic antireflective system for KrF lithographyXu, Min / Ko, Tze-Man et al. | 2000
- 136
-
On-wafer spectrofluorometric evaluation of the response of photoacid generator compounds in chemically amplified photoresistsFeke, G. D. / Hessman, D. / Grober, R. D. / Lu, B. / Taylor, J. W. et al. | 2000
- 140
-
In situ normal incidence reflectance study on the effect of growth rate of nucleation layer on GaN by metalorganic chemical vapor depositionKim, Dong-Joon / Moon, Yong-Tae / Ahn, Kwang-Soon / Park, Seong-Ju et al. | 2000
- 140
-
In situ normal incidence reflectance study on the effect of growth rate of nucleation layer on GaN by metaloganic chemical vapor depositionDong-Joon, K. / Yong-Tae, M. / Kwang-Soon, A. / Seong-Ju, P. et al. | 2000
- 144
-
Ion-etch produced damage on InAs(100) studied through collective-mode electronic Raman scatteringTanzer, T. A. / Bohn, P. W. / Roshchin, I. V. / Green, L. H. et al. | 2000
- 150
-
Substrate transfer process for InP-based heterostructure barrier varactor devicesArscott, S. / Mounaix, P. / Lippens, D. et al. | 2000
- 156
-
Sub-0.1 mum gate etch processes: Towards some limitations of the plasma technology?Desvoivres, L. / Vallier, L. / Joubert, O. et al. | 2000
- 156
-
Sub-0.1 μm gate etch processes: Towards some limitations of the plasma technology?Desvoivres, L. / Vallier, L. / Joubert, O. et al. | 2000
- 166
-
High-performance silicon dioxide etching for less than 0.1-mum-high-aspect contact holesSamukawa, S. / Mukai, T. et al. | 2000
- 166
-
High-performance silicon dioxide etching for less than 0.1-μm-high-aspect contact holesSamukawa, Seiji / Mukai, Tomonori et al. | 2000
- 172
-
Ion-assisted etching and profile development of silicon in molecular and atomic chlorineLevinson, Joshua A. / Shaqfeh, Eric S. G. / Balooch, Mehdi / Hamza, Alex V. et al. | 2000
- 191
-
Temperature dependence of neutral and positively charged Si and SiCl etch products during argon-ion-enhanced etching of Si(100) byMaterer, N. / Goodman, Rory S. / Leone, Stephen R. et al. | 2000
- 197
-
Round-off of trench corner by post-cylindrical molecular pump sidewall oxidation for 0.25 mum and beyond technologiesChung, Y. S. / Jeon, C. W. / Kim, J. H. / Han, S. K. / Hwang, J. W. / Kim, S. Y. / Lee, J. G. / Hyun, I. S. et al. | 2000
- 197
-
Round-off of trench corner by post-cylindrical molecular pump sidewall oxidation for 0.25 μm and beyond technologiesChung, Y. S. / Jeon, C. W. / Kim, J. H. / Han, S. K. / Hwang, J. W. / Kim, S. Y. / Lee, J. G. / Hyun, I. S. et al. | 2000
- 201
-
Effects of slurry formulations on chemical-mechanical polishing of low dielectric constant polysiloxanes: hydrido-organo siloxane and methyl silsesquioxaneChen, Wen-Chang / Yen, Cheng-Tyng et al. | 2000
- 208
-
Dielectric anisotropy and molecular orientation of fluorinated polymers confined in submicron trenchesCho, T. H. / Lee, J. K. / Ho, Paul S. / Ryan, E. Todd / Pellerin, John G. et al. | 2000
- 216
-
High dielectric constant capacitors for Gbit-scale dynamic random access memory devicesKim, Jae-Sun / Yoon, Soon-Gil et al. | 2000
- 221
-
Effectiveness of Ti, TiN, Ta, TaN, and as barriers for the integration of low-k dielectric hydrogen silsesquioxaneZeng, Yuxiao / Russell, Stephen W. / McKerrow, Andrew J. / Chen, Linghui / Alford, T. L. et al. | 2000
- 221
-
Effectiveness of Ti, TiN, Ta, TaN, and W~2N as barriers for the integration of low-kappa dielectric hydrogen silsesquioxaneZeng, Y. / Russell, S. W. / McKerrow, A. J. / Chen, L. / Alford, T. L. et al. | 2000
- 231
-
Orientation control in PZT/Pt/TiN multilayers with various Si and underlayers for high performance ferroelectric memoriesKushida-Abdelghafar, Keiko / Torii, Kazuyoshi / Mine, Toshiyuki / Kachi, Tsuyoshi / Fujisaki, Yoshihisa et al. | 2000
- 237
-
Development of tungsten nitride film as barrier layer for copper metallizationGanguli, Seshadri / Chen, Ling / Levine, Timothy / Zheng, Bo / Chang, Mei et al. | 2000
- 242
-
Characterization of plasma enhanced chemical vapor deposited films as barriers for Cu metallizationLi, Hua / Jin, Sing / Bender, Hugo / Lanckmans, Filip / Heyvaert, Ilse / Maex, Karen / Froyen, Ludo et al. | 2000
- 252
-
Material and process studies in the integration of plasma-promoted chemical-vapor deposition of aluminum with benzocyclobutene low-dielectric constant polymerTalevi, Robert / Gundlach, Heidi / Bian, Zailong / Knorr, Andreas / van Gestel, Maarten / Padiyar, Sumant / Kaloyeros, Alain E. / Geer, Robert E. / Shaffer, Edward O. / Martin, Steve et al. | 2000
- 262
-
Integration of Pt/Ru electrode structures by metalorganic chemical-vapor deposition on poly-/SiChoi, Eun-Suck / Yang, Jung-Hwan / Park, Jong-Bong / Yoon, Soon-Gil et al. | 2000
- 267
-
Mechanistic feature-scale profile simulation of low-pressure chemical vapor deposition by tetraethoxysilane pyrolysisLabun, Andrew H. / Moffat, Harry K. / Cale, Timothy S. et al. | 2000
- 279
-
Consistent refractive index parameters for ultrathin filmsWang, Y. / Irene, E. A. et al. | 2000
- 283
-
Thermal stability and etching characteristics of electron beam deposited SiO andLaRoche, J. R. / Ren, F. / Lothian, R. / Hong, J. / Pearton, S. J. / Lambers, E. / Hsu, C. H. / Wu, C. S. / Hoppe, M. et al. | 2000
- 288
-
Dielectric properties of thin films prepared by the sol–gel techniqueGarcı́a-Cerda, L. A. / Pérez-Roblez, J. F. / González-Hernández, J. / Mendoza-Galván, A. / Vorobiev, Yu. V. / Prokhorov, E. F. et al. | 2000
- 293
-
Ultraviolet light enhancement of dry etch ratesLee, K. P. / Cho, H. / Singh, R. K. / Pearton, S. J. / Hobbs, C. / Tobin, P. et al. | 2000
- 296
-
Comment on: “Epitaxial silicon grown on structure by molecular beam epitaxy”Paparazzo, E. et al. | 2000
- 299
-
Mapping of wafer profile to plasma processing conditions: Forward and reverse mapsLane, Jennifer / Rietman, Edward A. / Layadi, Nace / Lee, John T. C. et al. | 2000
- 299
-
Mapping of water profile to plasma processing conditions: Forward and reverse mapsLane, J. / Rietman, E. A. / Layadi, N. / Lee, J. T. et al. | 2000
- 303
-
Compensation effect during water desorption from siloxane-based spin-on dielectric thin filmsProost, J. / Baklanov, M. / Maex, K. / Delaey, L. et al. | 2000
- 307
-
Atomic fluorine beam etching of silicon and related materialsLarson, P. R. / Copeland, K. A. / Dharmasena, G. / Lasell, R. A. / Keil, M. / Johnson, M. B. et al. | 2000
- 313
-
Secondary ion mass spectroscopic analysis of copper migration at the copper/polyimide interfaceMiki, Norihiko / Tanaka, Keiji / Takahara, Atsushi / Kajiyama, Tisato et al. | 2000
- 317
-
Wavelength-independent optical lithographyPau, S. / Nalamasu, O. / Cirelli, R. / Frackoviak, J. / Timko, A. / Watson, P. / Klemens, F. / Timp, G. et al. | 2000
- 321
-
Organic/ chemical vapor deposited nanocomposites as templates for nanoporous silicaSenkevich, Jay J. et al. | 2000
- 325
-
Combinatorial approach for the synthesis of terpolymers and their novel application as very-high-contrast resists for x-ray nanolithographyGonsalves, Kenneth E. / Wang, Jianzhao / Wu, Hengpeng et al. | 2000
- 328
-
Sharpening of field-ion specimens and positioning of features of interest by ion-beam millingLarson, D. J. / Russell, K. F. / Cerezo, A. et al. | 2000
- 338
-
Design and integration considerations for end-of-the roadmap ultrashallow junctionsOsburn, C. M. / De, I. / Yee, K. F. / Srivastava, A. et al. | 2000
- 346
-
Front end of line considerations for progression beyond the 100 nm node ultrashallow junction requirementsRinn Cleavelin, C. / Covington, Billy C. / Larson, Lawrence A. et al. | 2000
- 354
-
Models and methods: Effective use of technology-computed aided design in the industryMouli, Chandra V. et al. | 2000
- 354
-
Models and methods: Effective use technology-computed aided design in the industryMouli, C. V. et al. | 2000
- 361
-
Status and review of two-dimensional carrier and dopant profiling using scanning probe microscopyDe Wolf, P. / Stephenson, R. / Trenkler, T. / Clarysse, T. / Hantschel, T. / Vandervorst, W. et al. | 2000
- 361
-
Status and review of two-dimensional carrier and dopant profilling using scanning probe microscopyDe Wolf, P. / Stephenson, R. / Trenkler, T. / Clarysse, T. / Hantschel, T. / Vandervorst, W. et al. | 2000
- 369
-
Qualification of spreading resistance probe operations. IClarysse, T. / Vandervorst, W. et al. | 2000
- 381
-
Qualification of spreading resistance probe operations. IIClarysse, T. / Vandervorst, W. et al. | 2000
- 389
-
Modeling of ultrashallow spreading resistance probe calibration curvesHillard, R. J. / Ramey, S. M. / Ye, C. Win et al. | 2000
- 393
-
Need to incorporate the real micro-contact distribution in spreading resistance correction schemesClarysse, T. / Vandervorst, W. et al. | 2000
- 401
-
Comparison of contact radius models for ultrashallow spreading resistance profilesHartford, E. J. / Ramey, S. M. / Ye, C. W. / Hartford, C. L. et al. | 2000
- 405
-
Nonmonotonic behavior of the scanning capacitance microscope for large dynamic range samplesStephenson, Robert / Verhulst, Anne / De Wolf, Peter / Caymax, Matty / Vandervorst, Wilfried et al. | 2000
- 409
-
Carrier concentration dependence of the scanning capacitance microscopy signal in the vicinity of junctionsKopanski, J. J. / Marchiando, J. F. / Rennex, B. G. et al. | 2000
- 414
-
Limitations of the calibration curve method for determining dopant profiles from scanning capacitance microscope measurementsMarchiando, J. F. / Kopanski, J. J. / Albers, J. et al. | 2000
- 418
-
Evaluating probes for “electrical” atomic force microscopyTrenkler, T. / Hantschel, T. / Stephenson, R. / De Wolf, P. / Vandervorst, W. / Hellemans, L. / Malavé, A. / Büchel, D. / Oesterschulze, E. / Kulisch, W. et al. | 2000
- 428
-
Dopant dose loss at the interfaceVuong, H.-H. / Rafferty, C. S. / Eshraghi, S. A. / Ning, J. / McMacken, J. R. / Chaudhry, S. / McKinley, J. / Stevie, F. A. et al. | 2000
- 435
-
Cluster formation during annealing of ultra-low-energy boron-implanted siliconCollart, E. J. H. / Murrell, A. J. / Foad, M. A. / van den Berg, J. A. / Zhang, S. / Armour, D. / Goldberg, R. D. / Wang, T.-S. / Cullis, A. G. / Clarysse, T. et al. | 2000
- 440
-
thickness determination by x-ray photoelectron spectroscopy, Auger electron spectroscopy, secondary ion mass spectrometry, Rutherford backscattering, transmission electron microscopy, and ellipsometryCole, D. A. / Shallenberger, J. R. / Novak, S. W. / Moore, R. L. / Edgell, M. J. / Smith, S. P. / Hitzman, C. J. / Kirchhoff, J. F. / Principe, E. / Nieveen, W. et al. | 2000
- 445
-
Shallow junction formation by decaborane molecular ion implantationFoad, Majeed A. / Webb, Roger / Smith, Roger / Matsuo, Jiro / Al-Bayati, Amir / Sheng-Wang, T- / Cullis, Tony et al. | 2000
- 450
-
Process characterization of low-dose, threshold-voltage adjust channel implants using mercury-probe capacitance-voltage measuremetnsSherbondy, J. / Hillard, R. et al. | 2000
- 450
-
Process characterization of low-dose, threshold-voltage adjust channel implants using mercury-probe capacitance–voltage measurementsSherbondy, J. / Hillard, R. et al. | 2000
- 454
-
Production of shallow ion-implanted layers using rapid electron-beam annealing under the condition of transient-enhanced outdiffusionKagadei, V. A. / Proskurovsky, D. I. et al. | 2000
- 458
-
Ultra-low-energy ion-implant simulation using computational-efficient molecular dynamics schemes and the local damage accumulation modelKang, Jeong-Won / Kang, E. S. / Son, M. S. / Hwang, H. J. et al. | 2000
- 458
-
Ultra-low-energy ion-implant simulation using computationmal-efficient molecualr dynamics schemes and the local damage accumulation modelJeong-Won, K. / Kang, E. S. / Son, M. S. / Hwang, H. J. et al. | 2000
- 462
-
Process interactions between low-energy ion implantation and rapid-thermal annealing for optimized ultrashallow junction formationMurrell, A. J. / Collart, E. J. H. / Foad, M. A. / Jennings, D. et al. | 2000
- 468
-
Process integration issues for doping of ultrashallow junctionsCurrent, M. I. / Foad, M. A. / Murrell, A. J. / Collart, E. J. H. / de Cock, G. / Jennings, D. et al. | 2000
- 468
-
Process integration isues for doping of ultrashallow junctionsCurrent, M. I. / Foad, M. A. / Murrell, A. J. / Collart, E. J. / de Codck, G. / Jennings, D. et al. | 2000
- 472
-
Profiling of ultrashallow junctionsGoeckner, M. J. / Felch, S. B. / Fang, Z. / Oberhofer, A. / Chia, V. K. F. / Mount, G. R. / Poulakos, M. / Keenan, W. A. et al. | 2000
- 477
-
Arsenic doped buried plate characterization in deep trenches for a 0.25 μm complementary metal–oxide–semiconductor technology by chemical etchingKrüger, D. / Gaworzewski, P. / Kurps, R. / Schmidt, K. / Luhmann, C. et al. | 2000
- 477
-
Arsenic doped buried plate characterizatrion in deep trenches for a 0.25 mum coplementary metal-oxide-semiconductor by chemical etchingKruger, D. / Gaworzewski, P. / Kurps, R. / Schmidt, K. / Luhmann, C. et al. | 2000
- 483
-
Surface quantification by ion implantation through a removable layerStevie, F. A. / Roberts, R. F. / McKinley, J. M. / Decker, M. A. / Granger, C. N. / Santiesteban, R. / Hitzman, C. J. et al. | 2000
- 489
-
Accuracy of ssecondary ion mass spectrometry in determining ion implanted B doses as confirmed by nuclear reaction analysisMagee, C. W. / Jacobson, D. / Gossmann, H. J. et al. | 2000
- 489
-
Accuracy of secondary ion mass spectrometry in determining ion implanted B doses as confirmed by nuclear reaction analysisMagee, Charles W. / Jacobson, Dale / Gossmann, Hans-J. et al. | 2000
- 493
-
Use of two beam energies in secondary ion mass spectrometry analysis of shallow implants: Resolution-matched profilingCooke, G. A. / Ormsby, T. J. / Dowsett, M. G. / Parry, C. / Murrell, A. / Collart, E. J. H. et al. | 2000
- 496
-
Depth scale distortions in shallow implant secondary ion mass spectrometry profilesSchueler, B. W. / Reich, D. F. et al. | 2000
- 501
-
Study of pro-equilibrium sputter rates for ultrashallow depth profiling with secondary ion mass spectrometryRonsheim, P. A. / Murphy, R. J. et al. | 2000
- 501
-
Study of pre-equilibrium sputter rates for ultrashallow depth profiling with secondary ion mass spectrometryRonsheim, P. A. / Murphy, R. J. et al. | 2000
- 503
-
Use of an polyatomic primary ion beam for ultrashallow depth profiling on an ion microscope secondary ion mass spectroscopy instrumentGillen, Greg / Walker, Marlon / Thompson, Phillip / Bennett, Joe et al. | 2000
- 509
-
Secondary ion mass spectrometry depth profiling of ultrashallow phosphorous in siliconLoesing, R. / Guryanov, G. M. / Hunter, J. L. / Griffis, D. P. et al. | 2000
- 509
-
Secondary ion mass spectrometry depth profilling of ultrashallow phosphorous in siliconLoesing, R. / Guryanov, G. M. / Hunter, J. L. / Griffis, D. P. et al. | 2000
- 514
-
Depth profiling of ultra-shallow implants using a Cameca IMS-6fMcKinley, J. M. / Stevie, F. A. / Neil, T. / Lee, J. J. / Wu, L. / Sieloff, D. / Granger, C. et al. | 2000
- 519
-
Depth profiling of ultrashallow B implants in silicon using a magnetic-sector secondary ion mass spectrometry instrumentNapolitani, E. / Carnera, A. / Storti, R. / Privitera, V. / Priolo, F. / Mannino, G. / Moffatt, S. et al. | 2000
- 524
-
In search of optimum conditions for the growth of sharp and shallow B-delta markers in Si by molecular beam epitaxyWittmaack, K. / Griesche, J. / Osten, H. J. / Patel, S. B. et al. | 2000
- 529
-
New developments for shallow depth profiling with the Cameca IMS 6fSchuhmacher, M. / Rasser, B. / Desse, F. et al. | 2000
- 533
-
Extraction of two-dimensional metal–oxide–semiconductor field effect transistor structural information from electrical characteristicsRichards, W. R. / Shen, M. et al. | 2000
- 540
-
Comparison of two-dimensional carrier profiles in metal–oxide– semiconductor field-effect transistor structures obtained with scanning spreading resistance microscopy and inverse modelingDe Wolf, P. / Vandervorst, W. / Smith, H. / Khalil, N. et al. | 2000
- 545
-
Effective channel length and base width measurements by scanning capacitance microscopyRaineri, V. / Lombardo, S. et al. | 2000
- 549
-
Two dimensional dopant and carrier profiles obtained by scanning capacitance microscopy on an actively biased cross-sectioned metal–oxide–semiconductor field-effect transistorZavyalov, V. V. / McMurray, J. S. / Stirling, S. D. / Williams, C. C. / Smith, H. et al. | 2000
- 555
-
Practicalities and limitations of scanning capacitance microscopy for routine integrated circuit characterizationStephenson, Robert / De Wolf, Peter / Trenkler, Thomas / Hantschel, Thomas / Clarysse, Trudo / Jansen, Philippe / Vandervorst, Wilfried et al. | 2000
- 560
-
Two-dimensional dopant profile of 0.2 μm metal–oxide–semiconductor field effect transistorsWang, X.-D. / Mahaffy, R. / Tan, K. / Shih, C. K. / Lee, J. J. / Foisy, M. et al. | 2000
- 560
-
Two-dimensional dopant profile of 0.2 mum metal-oxide-semiconductor field effect transistorsWang, X. D. / Mahaffy, R. / Tan, K. / Shih, C. K. / Lee, J. J. / Foisy, M. et al. | 2000
- 566
-
Comparative study of two-dimensional junction profiling using a dopant selective etching method and the scanning capacitance spectroscopy methodMahaffy, R. / Shih, C. K. / Edwards, H. et al. | 2000
- 572
-
Quantitative two-dimensional profiling of 0.35 mum transistors with lightly doped structuresMcDonald, A. / Mahaffy, R. / Wang, X. D. / Kuklewicz, C. / Shih, C. K. / Dennis, M. / Tiffin, D. / Kadoch, D. / Duane, M. et al. | 2000
- 572
-
Quantitative two-dimensional profiling of 0.35 μm transistors with lightly doped drain structuresMcDonald, A. / Mahaffy, R. / Wang, X.-D. / Kuklewicz, C. / Shih, C. K. / Dennis, M. / Tiffin, D. / Kadoch, D. / Duane, M. et al. | 2000
- 576
-
Electrochemical etching of silicon: A powerful tool for delineating junction profiles in silicon devices by transmission electron microscopySpinella, Corrado / D’Arrigo, Giuseppe et al. | 2000
- 580
-
High-resolution two-dimensional dopant characterization using secondary ion mass spectrometryUkraintsev, V. A. / Chen, P. J. / Gray, J. T. / Machala, C. F. / Magel, L. K. / Chang, M.-C. et al. | 2000
- 586
-
New aspects of nanopotentiometry for complementary metal–oxide–semiconductor transistorsTrenkler, T. / Stephenson, R. / Jansen, P. / Vandervorst, W. / Hellemans, L. et al. | 2000
- 595
-
Ion implantation damage model for B, As, P, and Si in siliconSon, Myung-Sik / Hwang, Ho-Jung et al. | 2000
- 602
-
Nondestructive profile measurements of annealed shallow implantsBorden, P. / Nijmeijer, R. / Li, J. P. / Bechtler, L. / Lingel, K. et al. | 2000
- 607
-
Fabrication of a silicon based nanometric oscillator with a tip form mass for scanning force microcopy operating in the GHz rangeKawakatsu, Hideki / Toshiyoshi, Hiroshi / Saya, Daisuke / Fukushima, Kimitake / Fujita, Hiroyuki et al. | 2000
- 612
-
Fabrication and characterization of nanoresonating devices for mass detectionDavis, Z. J. / Abadal, G. / Kuhn, O. / Hansen, O. / Grey, F. / Boisen, A. et al. | 2000
- 617
-
All-photoplastic, soft cantilever cassette probe for scanning force microscopyGenolet, G. / Despont, M. / Vettiger, P. / Anselmetti, D. / de Rooij, N. F. et al. | 2000
- 621
-
Modeling the hysteresis of a scanning probe microscopeDirscherl, Kai / Garnæs, Jørgen / Nielsen, Lars / Jøgensen, Jan Friis / Sørensen, Mads Peter et al. | 2000
- 626
-
High resolution sampling electrostatic force microscopy using pulse width modulation techniqueSaid, R. A. / Cheung, S. P. / Bridges, G. E. et al. | 2000
- 632
-
Point contact current–voltage measurements on individual organic semiconductor grains by conducting probe atomic force microscopyKelley, Tommie W. / Frisbie, C. Daniel et al. | 2000
- 636
-
Topography of skeletal muscle ryanodine receptors studied by atomic force microscopyWei, Q.-Q. / Chen, S.-F. / Cheng, X.-Y. / Yu, X.-B. / Hu, J. / Li, M.-Q. / Zhu, P. H. et al. | 2000
- 639
-
Search of optimum bias voltage for oxide patterning on Si using scanning tunneling microscopy in airTseng, Kuen-Syh / Hsieh, T. E. / Lo, Shih-Che / Lin, Hsi-Fu et al. | 2000
- 644
-
Optical emission spectroscopy of microscopic gas discharges using a high-pressure scanning tunneling microscopeMöller, D. / Eckert, R. / Haefke, H. / Güntherodt, H.-J. et al. | 2000
- 648
-
Atomic force microscope tip sharpening and evaluation by electric field confinement using a metal grid close to the tipArai, Toyoko / Tomitori, Masahiko et al. | 2000
- 653
-
Atom-by-atom analysis of diamond, graphite, and vitreous carbon by the scanning atom probeNishikawa, Osamu / Ohtani, Yoshikatsu / Maeda, Kiyoshi / Watanabe, Masafumi / Tanaka, Keiji et al. | 2000
- 661
-
Microprocess for fabricating carbon-nanotube probes of a scanning probe microscopeNakayama, Yoshikazu / Nishijima, Hidehiro / Akita, Seiji / Hohmura, Ken I. / Yoshimura, Shige H. / Takeyasu, Kunio et al. | 2000
- 665
-
Field emission properties of carbon nanotubesGröning, O. / Küttel, O. M. / Emmenegger, Ch. / Gröning, P. / Schlapbach, L. et al. | 2000
- 679
-
Beam steering by integrated electrodes for brighter field-emitter displaysPy, Christophe et al. | 2000
- 683
-
Stability of low-temperature amorphous silicon thin film transistors formed on glass and transparent plastic substratesYang, C.-S. / Smith, L. L. / Arthur, C. B. / Parsons, G. N. et al. | 2000
- 690
-
Effect of post-nitride-passivation processing on the long-term stability of polysilicon integrated circuit resistorsRydberg, Matts / Smith, Ulf / Sjödin, Håkan et al. | 2000
- 695
-
Reduction of sidewall defect induced leakage currents by the use of nitrided field oxides in silicon selective epitaxial growth isolation for advanced ultralarge scale integrationBashir, R. / Su, T. / Sherman, J. M. / Neudeck, G. W. / Denton, J. / Obeidat, A. et al. | 2000
- 700
-
Active corner engineering in the process integration for shallow trench isolationBalasubramanian, N. / Johnson, E. / Peidous, I. V. / Ming-Jr, Shiu / Sundaresan, R. et al. | 2000
- 706
-
Apparent depths of B and Ge deltas in Si as measured by secondary ion mass spectrometryJiang, Z. X. / Alkemade, P. F. A. / Tung, C.-H. / Wang, J. L. F. et al. | 2000
- 713
-
Fabrication of dielectric hollow submicrometric pipesSoares, Leandro L. / Cescato, Lucila / Cruz, Nilson C. / de Moraes, Mário B. et al. | 2000
- 717
-
Effect of lateral dimensional scaling on the thermal stability of thin layers reacted on polycrystalline siliconAlberti, A. / La Via, F. / Grimaldi, M. G. / Ravesi, S. et al. | 2000
- 721
-
Role of the substrate in the C49–C54 transformation ofLa Via, F. / Raineri, V. / Grimaldi, M. G. / Miglio, Leo / Iannuzzi, M. / Marabelli, F. / Bocelli, S. / Santucci, S. / Phani, A. R. et al. | 2000
- 729
-
Investigation of the mechanism for Ti/Al ohmic contact on etched surfacesSheu, J. K. / Su, Y. K. / Chi, G. C. / Jou, M. J. / Liu, C. C. / Chang, C. M. / Hung, W. C. / Bow, J. S. / Yu, Y. C. et al. | 2000
- 733
-
Structural properties of AlGaN/GaN heterostructures on Si(111) substrates suitable for high-electron mobility transistorsKaiser, S. / Jakob, M. / Zweck, J. / Gebhardt, W. / Ambacher, O. / Dimitrov, R. / Schremer, A. T. / Smart, J. A. / Shealy, J. R. et al. | 2000
- 741
-
Incorporation of Si in InAlAs grown by low pressure metal-organic chemical vapor deposition assessed by optical and transport measurementsTribuzy, C. V. B. / Yavich, B. / Souza, P. L. / Menchero, J. G. et al. | 2000
- 741
-
Incorporation of Si in InAIAs grown by low pressure metal-organic chemical vapor deposition assessed by optical and transport measurementsTribuzy, C. V. / Yavich, B. / Souza, P. L. / Menchero, J. G. et al. | 2000
- 746
-
Increased electron mobility of InAsSb channel heterostructures grown on GaAs substrates by molecular beam epitaxyKudo, Makoto / Mishima, Tomoyoshi / Tanaka, Takeshi et al. | 2000
- 751
-
Characteristics of InGaP/GaAs delta-doped heterojunction bipolar transistorChen, Jing-Yuh / Wang, Wei-Chou / Pan, Hsi-Jen / Feng, Shun-Ching / Yu, Kuo-Hui / Cheng, Shiou-Ying / Liu, Wen-Chau et al. | 2000
- 757
-
AlGaN-based ultraviolet light detectors with integrated optical filtersKarrer, Uwe / Dobner, Armin / Ambacher, Oliver / Stutzmann, Martin et al. | 2000
- 761
-
Real time resistometric depth monitoring in the focused ion beamLatif, A. / Booij, W. E. / Durrell, J. H. / Blamire, M. G. et al. | 2000
- 765
-
Patterning of 0.175 μm platinum features using chemically assisted ion-beam etchingGutsche, Martin U. / Athavale, Satish D. / Williams, Kurt / Hines, Danielle et al. | 2000
- 765
-
Patterning of 0.175 mum platinum features using Ar/O~2 chemically assisted ion-beam etchingGutsche, M. U. / Athavale, S. D. / Williams, K. / Hines, D. et al. | 2000
- 774
-
Evaluation of exposure dose repeatability in synchrotron radiation lithographyItoga, Kenji / Sumitani, Hiroaki / Watanabe, Hiroshi / Kumada, Teruhiko / Kodera, Itsuo / Satoh, Shinichi / Ogushi, Nobuaki / Oishi, Satoshi / Edo, Ryou / Yamamoto, Takeshi et al. | 2000
- 780
-
Supercritical resist dryerNamatsu, Hideo / Yamazaki, Kenji / Kurihara, Kenji et al. | 2000
- 785
-
Plasma polymerized methylsilane. II. Performance for 248 nm lithographyMonget, C. / Joubert, O. et al. | 2000
- 793
-
Plasma polymerized methylsilane. III. Process optimization for 193 lithography applicationsJoubert, O. / Fuard, D. / Monget, C. / Weidman, T. et al. | 2000
- 793
-
Plasma polymerized methylsilane. III. Process optimization for 193 nm lithography applicationsJoubert, O. / Fuard, D. / Monget, C. / Weidman, T. et al. | 2000
- 799
-
Pulsed plasma polymerization of an aromatic perfluorocarbon monomer: Formation of low dielectric constant, high thermal stability filmsHan, Licheng M. / Timmons, Richard B. / Lee, Wei W. et al. | 2000
- 805
-
Spectroscopic investigations of plasma damage of kaptonLee, Szetsen / Tien, Yu-Chung / Hsu, Chin-Fa et al. | 2000
- 811
-
Ar addition effect on mechanism of fluorocarbon ion formation in inductively coupled plasmaChoi, Chang Ju / Kwon, O Sung / Seol, Yeo Song / Kim, Yil Wook / Choi, Il Hyun et al. | 2000
- 820
-
Role of sidewall scattering in feature profile evolution during and HBr plasma etching of siliconVyvoda, M. A. / Li, M. / Graves, D. B. / Lee, H. / Malyshev, M. V. / Klemens, F. P. / Lee, J. T. C. / Donnelly, V. M. et al. | 2000
- 834
-
Reduction of plasma induced damage in an inductively coupled plasma using pulsed source powerSamukawa, Seiji / Noguchi, Ko / Colonell, Jennifer I. / Bogart, Katherine H. A. / Malyshev, Mikhail V. / Donnelly, Vincent M. et al. | 2000
- 841
-
Simulation based plasma reactor design for improved ion bombardment uniformityKim, Heon Chang / Manousiouthakis, Vasilios I. et al. | 2000
- 848
-
Effect of radio frequency bias power on feature etching in inductively coupled fluorocarbon plasmasSchaepkens, M. / Oehrlein, G. S. / Cook, J. M. et al. | 2000
- 856
-
Effects of radio frequency bias frequency and radio frequency bias pulsing on feature etching in inductively coupled fluorocarbon plasmasSchaepkens, M. / Oehrlein, G. S. / Cook, J. M. et al. | 2000
- 864
-
Selectivity enhancement of GaAs/AlGaAs dry etching by a pulse-excited inductively coupled plasma sourceMatsukura, Y. / Tanaka, H. / Wada, J. et al. | 2000
- 868
-
Direct observation of oxygen-induced structural changes in stainless-steel surfacesCho, Boklae / Chung, Sukmin / Kim, Kijeong / Kang, Taihee / Park, Chongdo / Kim, Bongsoo et al. | 2000
- 873
-
Resist debris formation and proximity exposure effect in electron beam lithographyDeshmukh, P. R. / Rangra, K. J. / Wadhawan, O. P. et al. | 2000
- 877
-
Stamp technology for fabrication of field emitter from organic materialBaba, Akiyoshi / Hizukuri, Masafumi / Iwamoto, Masakazu / Asano, Tanemasa et al. | 2000
- 883
-
Preface| 2000
- 884
-
Effect of ambient gas in sealing process on field emission characteristicsJung, S. J. / Oh, J. Y. / Woo, K. J. / Kim, K. S. / Moon, G. J. / Kim, M. S. / Lee, N. Y. / Ahn, S. et al. | 2000
- 888
-
Integration of high voltage field emission display followed by macro- and nanostructural analysis on microtipKim, J. M. / Lee, H. W. / Choi, Y. S. / Lee, N. S. / Jung, J. E. / Kim, J. W. / Choi, W. B. / Park, Y. J. / Choi, J. H. / Jin, Y. W. et al. | 2000
- 896
-
Field emission arrays by silicon micromachiningDebski, T. / Volland, B. / Barth, W. / Shi, F. / Hudek, P. / Rangelow, I. W. / Grabiec, P. / Studzinska, K. / Zaborowski, M. / Mitura, S. et al. | 2000
- 900
-
Field emitting inks for consumer-priced broad-area flat-panel displaysBurden, A. P. / Bishop, H. E. / Brierley, M. / Friday, J. M. / Hood, C. / Jones, P. G. A. / Khazov, A. Y. / Lee, W. / Riggs, R. J. / Shaw, V. L. et al. | 2000
- 905
-
Mechanical and structural characterization of ceramic spacers with a high aspect ratio for 5.2 in. field emission displaysJung, S. Y. / Jung, J. E. / Cha, S. N. / Park, N. S. / Han, I. T. / Lee, N. S. / Kim, J. M. et al. | 2000
- 911
-
Simulation of focusing field emission devicesLan, Y. C. / Lai, J. T. / Chen, S. H. / Wang, W. C. / Tsai, C. H. / Tsai, K. L. / Sheu, C. Y. et al. | 2000
- 914
-
Field-emission triodes with integrated anodesGarner, D. M. / Long, G. M. / Herbison, D. / Amaratunga, G. A. J. et al. | 2000
- 919
-
Calculations of field emission from as a function of stoichiometryChung, M. S. / Cutler, P. H. / Miskovsky, N. M. / Kumar, N. et al. | 2000
- 923
-
Structural and process characterization of high voltage operated field emission displays with focus electrodesLee, N. S. / Lee, H. W. / Kim, J1. / Jung, S. Y. / Choi, J. H. / Park, Y. J. / Kim, J. W. / Jung, J. E. / Park, N. S. / Park, S. H. et al. | 2000
- 929
-
Geometry effects arising from anodization of field emittersSeidl, A. / Takai, M. / Hosono, A. / Yura, S. / Okuda, S. et al. | 2000
- 933
-
Electron emission performance of nitrogen-doped hydrogen-free diamond-like carbon coating on Mo-Tip field emitter arraysJung, Jae Hoon / Lee, Nam Yang / Jang, Jin / Oh, Myung Hwan / Ahn, Saeyoung et al. | 2000
- 937
-
Calculation of the field emission current density from through injection in N-doped diamondFilip, Valeriu / Nicolaescu, Dan / Okuyama, Fumio / Plavitu, Constantin N. et al. | 2000
- 942
-
Atomic level analysis of silicon emitters utilizing the scanning atom probeNishikawa, O. / Watanabe, M. / Ohtani, Y. / Maeda, K. / Tanaka, K. et al. | 2000
- 948
-
Residual gas effects on the emission characteristics of silicon field emitter arraysGilkes, M. J. / Nicolaescu, D. / Wilshaw, P. R. et al. | 2000
- 952
-
Individual tip evaluation in Si field emitter arrays by electrostatic lens projectorMatsukawa, T. / Kanemaru, S. / Tokunaga, K. / Itoh, J. et al. | 2000
- 956
-
Serial process for electron emission from solid-state field controlled emittersBinh, Vu Thien / Dupin, J. P. / Thevenard, P. / Purcell, S. T. / Semet, V. et al. | 2000
- 962
-
Electron field emission from polycrystalline silicon tipsVossough, K. K. / Bower, R. W. et al. | 2000
- 968
-
Field emission carbon thin film and its lifetime and stabilityThuesen, L. H. / Li Tolt, Z. / Fink, R. L. / Yaniv, Z. / Marrese, Colleen M. / Bandy, Steve / Nishimoto, Clifford et al. | 2000
- 972
-
Suppression of oxidation of metal emitters by incorporating ruthenium oxideYoon, Young Joon / Yoon, Dong-Soo / Baik, Hong Koo / Lee, Sung-Man / Song, Kie Moon / Lee, Se-Jong et al. | 2000
- 976
-
Field emitter array fabricated using focused ion and electron beam induced reactionYavas, O. / Ochiai, C. / Takai, M. / Park, Y. K. / Lehrer, C. / Lipp, S. / Frey, L. / Ryssel, H. / Hosono, A. / Okuda, S. et al. | 2000
- 980
-
Effect of carbon coating on electron field emission from polysiliconChakhovskoi, A. G. / Vossough, K. / Hunt, C. E. / Kosarev, A. I. / Vinogradov, A. J. / Shutov, M. V. / Andronov, A. N. / Robozerov, S. V. et al. | 2000
- 984
-
Process development of gated field emitter arrays with dry etched amorphous silicon microtips on glass substratesChoi, J. H. / Park, Y. J. / Lee, H. W. / Oh, H. W. / Kim, J. W. / Lee, N. S. / Cha, S. N. / Jung, J. E. / Choi, Y. S. / Kim, J. M. et al. | 2000
- 989
-
Fabrication of Spindt-type tungsten microtip field emitter arrays with optimized aluminum parting layersPark, Y. J. / Choi, J. H. / Lee, H. W. / Lee, N. S. / Kim, J. W. / Hong, S. S. / Park, N. S. / Jung, J. E. / Kim, J. M. et al. | 2000
- 994
-
Synthesis of high density arrays of nanoscaled gridded field emitters based on anodic aluminaLi, Y. / Holland, E. R. / Wilshaw, P. R. et al. | 2000
- 997
-
Low temperature properties of Ba-dispenser cathodesGeittner, P. / Gärtner, G. / Raasch, D. et al. | 2000
- 1000
-
Ba losses due to oxygen adsorption on Ba-dispenser carthodesRaasch, D. / Geittner, P. / Gärtner, G. et al. | 2000
- 1003
-
Study on local stability of field emitter arrays by using an emission microscopeNakane, Hideaki / Muto, Yasufumi / Yamane, Koichi / Adachi, Hiroshi et al. | 2000
- 1006
-
Lateral field emission diode with wedge-type tip and nanogap on separation by implantation of oxygen siliconZang, Woo-Jae / Lee, Jung-Hee / Lee, Jong-Hyun / Bae, Young-Ho / Choi, Chang-Auck / Hahm, Sung-Ho et al. | 2000
- 1009
-
Simulations of tapered Goubau line for coupling microwave signals generated by resonant laser-assisted field emissionAlonso, Kevin / Hagmann, Mark J. et al. | 2000
- 1014
-
Three-dimensional photon-stimulated field emission theory by transfer matrices and Green’s functionsMayer, Alexandre / Hagmann, Mark J. / Vigneron, Jean-Pol et al. | 2000
- 1018
-
Estimation of emission field and emission site of boron-doped diamond thin-film field emittersGotoh, Y. / Kondo, T. / Nagao, M. / Tsuji, H. / Ishikawa, J. / Hayashi, K. / Kobashi, K. et al. | 2000
- 1024
-
Electron emission process of phosphorus-doped homoepitaxial diamond filmsKimura, Chiharu / Koizumi, Satoshi / Kamo, Mutsukazu / Sugino, Takashi et al. | 2000
- 1027
-
Effect of nitrogen doping on field emission characteristics of patterned diamond-like carbon films prepared by pulsed laser depositionShin, I. H. / Lee, T. D. et al. | 2000
- 1031
-
Field emission mechanism from undoped chemical vapor deposition diamond filmsGöhl, A. / Günther, B. / Habermann, T. / Müller, G. / Schreck, M. / Thürer, K. H. / Stritzker, B. et al. | 2000
- 1035
-
Relationship between field emission properties and spatial distributions of emission sites: Diamond films and graphitic carbon filmsShim, Jae Yeob / Chi, Eung Joon / Baik, Hong Koo / Song, Kie Moon / Lee, Se-Jong et al. | 2000
- 1040
-
Effects of substrate bias on the structural and field emission properties of diamond filmsShim, Jae Yeob / Chi, Eung Joon / Baik, Hong Koo / Song, Kie Moon / Lee, Se-Jong et al. | 2000
- 1044
-
Noise characteristics of emission current from conductive diamond-like carbon thin films coating on cone shaped silicon field emittersSawada, Kazuaki / Kinoshita, Haruhisa / Masuda, Takeshi / Ishida, Makoto et al. | 2000
- 1048
-
Nanostructured diamond film on etched silicon and its field emission behaviorXu, N. S. / Chen, Jian / Feng, Y. T. / Deng, S. Z. et al. | 2000
- 1051
-
Modification of electron field emission properties from surface treated amorphous carbon thin filmsCarey, J. D. / Poa, C. H. / Forrest, R. D. / Burden, A. P. / Silva, S. R. P. et al. | 2000
- 1054
-
Field emission from 4.5 in. single-walled and multiwalled carbon nanotube filmsChung, D. S. / Choi, W. B. / Kang, J. H. / Kim, H. Y. / Han, I. T. / Park, Y. S. / Lee, Y. H. / Lee, N. S. / Jung, J. E. / Kim, J. M. et al. | 2000
- 1059
-
Aligned carbon nanotube films for cold cathode applicationsObraztsov, A. N. / Pavlovsky, I. / Volkov, A. P. / Obraztsova, Elena D. / Chuvilin, A. L. / Kuznetsov, V. L. et al. | 2000
- 1064
-
Electron emission from films containing Pd nanocrystalsCzerwosz, E. / Dłużewski, P. / Gierałtowski, W. / Sobczak, J. W. / Starnawska, E. / Wronka, H. et al. | 2000
- 1068
-
Design of field emission based magnetic sensorsMarqués, M. I. / Serena, P. A. / Nicolaescu, D. / Correia, A. et al. | 2000
- 1073
-
Proposal and modeling of a novel thermal microprobe using n-Si/nitrogen doped diamond cathodesNicolaescu, Dan / Filip, Valeriu / Itoh, Junji / Okuyama, Fumio et al. | 2000
- 1077
-
Analysis of a pressure sensor using doped diamond cathodesNicolaescu, Dan / Filip, Valeriu / Itoh, Junji / Okuyama, Fumio et al. | 2000
- 1081
-
Improvement of electron emission of silicon field emitter arrays by pulsed laser cleaningYavas, O. / Suzuki, N. / Takai, M. / Hosono, A. / Okuda, S. et al. | 2000
- 1085
-
Fabrication of volcano-type TiN field emitter arraysLee, Dong-Gu / Baik, Dong-Ki / Kang, Nam-Seok / Cho, Won-Ki / Yoon, Sang-Jo / Kim, Tae-Young / Hwang, Hyun-Deog / Ahn, Dong-Hoon / Park, Myung-Ho et al. | 2000
- 1089
-
Field emission characteristics of boron nitride filmsSugino, Takashi / Etou, Yoshihiro / Tagawa, Shigeru / Gamo, Mikka Nishitani / Ando, Toshihiro et al. | 2000
- 1093
-
Field electron emission from W covered with InSaito, Yasushi / Nakane, Hideaki / Adachi, Hiroshi et al. | 2000
- 1097
-
activated silica prepared by the alkoxide sol–gel methodŽupanc-Mežnar, Lea / Cerc-Korošec, R. / Bukovec, P. / Padežnik Gomilšek, J. et al. | 2000
- 1101
-
Synthesis and modification of red oxide phosphors for low voltage excitationGwak, J.-H. / Park, S. H. / Jang, J. E. / Lee, S. J. / Jung, J. E. / Kim, J. M. / Jin, Y. W. / Lee, N. S. / Yi, W. K. / Vorobyov, V. A. et al. | 2000
- 1106
-
High resolution phosphor screening method for full-color field emission display applicationsJang, J. E. / Gwak, J.-H. / Jin, Y. W. / Lee, S. J. / Park, S. H. / Jung, J. E. / Lee, N. S. / Kim, J. M. et al. | 2000
- 1111
-
Effects of conduction type on field-electron emission from single Si emitter tips with extraction gateMatsukawa, T. / Kanemaru, S. / Tokunaga, K. / Itoh, J. et al. | 2000
- 1115
-
SiC field emitter arrays fabricated by transfer mold techniqueGórecka-Drzazga, A. / Dziuban, J. / Prociów, E. et al. | 2000
- 1119
-
Is the tunneling electron really affected by electrostatic image forces?Valeyev, V. G. / Hagmann, M. J. et al. | 2000
- 1125
-
Noise in scanning capacitance microscopy measurementsZavyalov, V. V. / McMurray, J. S. / Williams, C. C. et al. | 2000
- 1134
-
Optical active gallium arsenide cantilever probes for combined scanning near-field optical microscopy and scanning force microscopyHeisig, S. / Rudow, O. / Oesterschulze, E. et al. | 2000
- 1138
-
Resolution in scanning near-field cathodoluminescence microscopyPastré, D. / Bubendorff, J. L. / Troyon, M. et al. | 2000
- 1144
-
Imaging of soft structures: Dependence of contrast in atomic force microscopy images on the force applied by the tipTeschke, O. / Ceotto, G. / de Souza, E. F. et al. | 2000
- 1151
-
Study of Pb diffusion on with scanning tunneling microscopy: Low coverageSlezak, J. / Chab, V. / Chvoj, Z. / Mutombo, P. et al. | 2000
- 1151
-
Study of Pb diffusion on Si(111)-(7x7) with scanning tunneling microscopy: Low coverageSlezak, J. / Chab, V. / Chvoj, Z. / Mutombo, P. et al. | 2000
- 1156
-
Study on nucleation and growth of Ag nanoparticles prepared by radio-frequency sputtering on highly oriented pyrolytic graphite and amorphous carbonLü, Wei-Gang / Wu, Hao / Xiong, Yu-Qing / Guo, Yun / Yang, De-Quan / Li, Hu-Lin et al. | 2000
- 1160
-
Electrical testing of gold nanostructures by conducting atomic force microscopyBietsch, Alexander / Schneider, M. Alexander / Welland, Mark E. / Michel, Bruno et al. | 2000
- 1171
-
Direct patterning of noble metal nanostructures with a scanning tunneling microscopeMarchi, F. / Tonneau, D. / Dallaporta, H. / Safarov, V. / Bouchiat, V. / Doppelt, P. / Even, R. / Beitone, L. et al. | 2000
- 1177
-
Fabrication of dissimilar metal electrodes with nanometer interelectrode distance for molecular electronic device characterizationGuillorn, Michael A. / Carr, Dustin W. / Tiberio, Richard C. / Greenbaum, Elias / Simpson, Michael L. et al. | 2000
- 1182
-
Ti/TiN coatings for microfabricated cantilevers used in atomic force microscopyWiederhold, K. P. / Yamaguchi, Y. / Ayala, A. / Matheaus, M. / Gutierrez, C. J. / Galloway, H. C. et al. | 2000
- 1187
-
Nanometer-scale data storage on 3-phenyl-1-ureidonitrile thin film using scanning tunneling microscopyShi, D. X. / Ma, L. P. / Xie, S. S. / Pang, S. J. et al. | 2000
- 1190
-
Defect observation on a 12-in. silicon wafer using large sample atomic force microscopyNishimura, T. / Wakiyama, S. / Yasutake, M. / Sugano, Y. / Fujino, N. et al. | 2000
- 1194
-
Characterization and nanometer-scale modifications of surface via atomic force microscopyCzajka, R. / Horák, J. / Lošt’ák, P. / Karamazov, S. / Vaniš, J. / Walachová, J. et al. | 2000
- 1198
-
Homoepitaxial diamond (001) thin film studied by reflection high-energy electron diffraction, contact atomic force microscopy, and scanning tunneling microscopyTakami, Tomohide / Kusunoki, I. / Nishitani-Gamo, M. / Ando, T. et al. | 2000
- 1203
-
Comparison between tantalum carbosulfide and -graphite intercalation compound by scanning tunneling microscopyWalter, J. / Shioyama, H. / Hara, S. et al. | 2000
- 1207
-
High current density field emission from arrays of carbon nanotubes and diamond-clad Si tipsTarntair, F. G. / Chen, L. C. / Wei, S. L. / Hong, W. K. / Chen, K. H. / Cheng, H. C. et al. | 2000
- 1212
-
Arrays of field emission cathode structures with sub-300 nm gatesBernhardt, A. F. / Contolini, R. J. / Jankowski, A. F. / Liberman, V. / Morse, J. D. / Musket, R. G. / Barton, R. / Macaulay, J. / Spindt, C. et al. | 2000
- 1216
-
Mapping the field-emission tunneling barrier of organic adsorbates on tungstenCondon, G. R. / Panitz, J. A. et al. | 2000
- 1222
-
Prebreakdown and breakdown investigation of needle-plane vacuum gaps in the micron/submicron regimeMuzykov, P. G. / Ma, Xianyun / Sudarshan, T. S. et al. | 2000
- 1227
-
Influence of getter activation and aging in a frit-sealed field emission display panelKwon, Sang Jik / Hong, Kun Jo / Lee, Jong Duk / Oh, Chang Woo / Yoo, Jae Soo / Kwon, Yong Bum et al. | 2000
- 1232
-
Growth of heterostructures using Bi as a surfactantPillai, M. R. / Kim, Seong-Soo / Ho, S. T. / Barnett, S. A. et al. | 2000
- 1237
-
Electrical properties and defect states in undoped high-resistivity GaN films used in high-power rectifiersPolyakov, A. Y. / Smirnov, N. B. / Govorkov, A. V. / Dang, G. / Zhang, A. P. / Ren, F. / Cao, X. A. / Pearton, S. J. / Wilson, R. G. et al. | 2000
- 1244
-
Elevated source drain devices using silicon selective epitaxial growthSamavedam, S. B. / Dip, A. / Phillips, A. M. / Tobin, P. J. / Mihopolous, T. / Taylor, W. J. / Adetutu, O. et al. | 2000
- 1251
-
Normal-incidence SiGe/Si photodetectors with different buffer layersJiang, R. L. / Lo, Z. Y. / Chen, W. M. / Zang, L. / Zhu, S. M. / Liu, X. B. / Cheng, X. M. / Chen, Z. Z. / Chen, P. / Han, P. et al. | 2000
- 1254
-
Radio frequency plasma annealing of positive charge generated by Fowler–Nordheim electron injection in buried oxides in siliconNazarov, A. N. / Kilchytska, V. I. / Barchuk, I. P. / Tkachenko, A. S. / Ashok, S. et al. | 2000
- 1262
-
Process damage assessment of a low energy inductively coupled plasma-based neutral sourceTang, Xianmin / Wang, Qi / Manos, D. M. et al. | 2000
- 1268
-
Water-assisted repair of plasma-induced damage in the silicon/silicon-dioxide systemItsumi, Manabu / Maeda, Masahiko / Takeuchi, Hideaki / Morie, Takashi et al. | 2000
- 1276
-
Effect of oxygen plasma exposure of porous spin-on-glass filmsKondoh, E. / Asano, T. / Nakashima, A. / Komatu, M. et al. | 2000
- 1281
-
Low temperature oxidation and selective etching of chemical vapor deposition filmsBaklanov, M. R. / Van Hove, M. / Mannaert, G. / Vanhaelemeersch, S. / Bender, H. / Conard, T. / Maex, K. et al. | 2000
- 1288
-
Novolak–diazonaphthoquinone resists: The central role of phenolic stringsReiser, Arnost / Yan, Zhenglin / Han, Yu-Kai / Soo Kim, Myoung et al. | 2000
- 1294
-
Modeling anomalous depth dependent dissolution effects in chemically amplified resistsCheng, Mosong / Tyminski, Jacek / Croffie, Ebo / Neureuther, Andrew et al. | 2000
- 1299
-
Optimized design for the scattering with angular limitation in projection electron-beam lithography based electron projection systemXiu, K. / Gibson, J. M. et al. | 2000
- 1306
-
Experimentation and modeling of organic photocontamination on lithographic opticsKunz, R. R. / Liberman, V. / Downs, D. K. et al. | 2000
- 1314
-
Role of passivation etch polymers in interfacial delamination for polymeric low-k dielectricsBreen, M. R. / Foster, C. M. / Bass, S. / Lee, J. J. / Mlynko, W. et al. | 2000
- 1322
-
Highly localized thermal, mechanical, and spectroscopic characterization of polymers using miniaturized thermal probesHammiche, A. / Bozec, L. / Conroy, M. / Pollock, H. M. / Mills, G. / Weaver, J. M. R. / Price, D. M. / Reading, M. / Hourston, D. J. / Song, M. et al. | 2000
- 1333
-
Diffusion barrier properties of ZrN films in the Cu/Si contact systemsTakeyama, Mayumi B. / Noya, Atsushi / Sakanishi, Kouichirou et al. | 2000
- 1338
-
Schottky barrier formation at interfacePelleg, Joshua et al. | 2000
- 1343
-
Kinetic simulation of metal chemical-vapor deposition on high aspect ratio features in modern very-large-scale-integrated processingLi, Ming / Dew, Steven / Brett, Michael / Smy, Tom et al. | 2000
- 1348
-
Effects of gas flow ratio and flow rate on the formation of thin films by reactive sputteringAbe, Y. / Kaga, Y. / Kawamura, M. / Sasaki, K. et al. | 2000
- 1352
-
Process diagnostics and thickness metrology using in situ mass spectrometry for the chemical vapor deposition of W fromGougousi, Theodosia / Xu, Yiheng / Kidder, John N. / Rubloff, Gary W. / Tilford, Charles R. et al. | 2000
- 1364
-
Resonance enhanced multiphoton ionization as a diagnostic tool in glow discharge plasmasSenkan, Selim / Ly, Anna et al. | 2000
- 1369
-
Channeling-induced asymmetric distortion of depth profiles from polycrystalline-TiN/Ti/TiN(001) trilayers during secondary ion mass spectrometryRamanath, G. / Greene, J. E. / Petrov, I. / Baker, J. E. / Allen, L. H. / Gillen, G. et al. | 2000
- 1375
-
X-ray rocking curve analysis of tetragonally distorted ternary semiconductors on mismatched (001) substratesZhang, X. G. / Parent, D. W. / Li, P. / Rodriguez, A. / Zhao, G. / Ayers, J. E. / Jain, F. C. et al. | 2000