Journal of vacuum science and technology / B
The tables of contents are generated automatically and are based on the data records of the individual contributions available in the index of the TIB portal. The display of the Tables of Contents may therefore be incomplete.
Table of contents
- 2915
-
Regular Articles - Electrical transport and far-infrared transmission in a quantum wire arrayLefebvre, J. et al. | 1998
- 2928
-
Regular Articles - Luminescence from erbium implanted silicon-germanium quantum wellsHuda, M.Q. et al. | 1998
- 2934
-
Regular Articles - Optical absorption of Ag nanoclusters in Ag+-implanted c-SiO2Feng, Xiao-Dong et al. | 1998
- 2938
-
Regular Articles - Silicon nanopillars formed with gold colloidal particle maskingLewis, P.A. et al. | 1998
- 2942
-
Regular Articles - Detection and control of ferroelectric domains by an electrostatic force microscopeHong, J.W. et al. | 1998
- 2947
-
Regular Articles - Atomistic study of nickel silicide structures on Si(100) by tunneling microscopyOno, Izumi et al. | 1998
- 2952
-
Regular Articles - Growth of silicon oxide on hydrogenated silicon during lithography with an atomic force microscopeMarchi, F. et al. | 1998
- 2957
-
Regular Articles - Plasma polymer films for 532 nm laser micromachiningSilverstein, M.S. et al. | 1998
- 2968
-
Regular Articles - Nonstatistical degradation and development characteristics of poly(methylmethacrylate) based resists during electron beam exposureUhl, A. et al. | 1998
- 2974
-
Regular Articles - Electrical conductivity measurement for quantitative evaluation of development speed of a photoresistTakeda, T. et al. | 1998
- 2977
-
Regular Articles - Fabrication of submicron suspended structures by laser and atomic force microscopy lithography on aluminum combined with reactive ion etchingBoisen, A. et al. | 1998
- 2982
-
Regular Articles - Submicrometer transmission mask fabricated by low-temperature SF6-O2 reactive ion etching and focused ion beamSheng, H.Y. et al. | 1998
- 2986
-
Regular Articles - Cleaning of CHF3 plasma-etched SiO2-SiN-Cu via structures using a hydrogen plasma, an oxygen plasma, and hexafluoroacetylacetone vaporsUeno, Kazuyoshi et al. | 1998
- 2996
-
Regular Articles - Endpoint uniformity sensing and analysis in silicon dioxide plasma etching using in situ mass spectrometryChambers, J.J. et al. | 1998
- 3003
-
Regular Articles - BCl3-Ar reactive ion etching for gate recessing of GaInP-InGaAs-GaAs pseudomorphic high electron mobility transistorsKuo, C.W. et al. | 1998
- 3008
-
Regular Articles - Interaction between gas rarefaction and metal ionization in ionized physical vapor depositionRossnagel, S.M. et al. | 1998
- 3013
-
Regular Articles - Atomically flat gold film surfaces deposited on Si (111) surfaces at room temperatureOkamoto, H. et al. | 1998
- 3015
-
Regular Articles - Correlation between the early stage of copper metal organic chemical vapor deposition and the material properties of thin filmHwang, Eui Seong et al. | 1998
- 3021
-
Regular Articles - Properties of sputtered Cr-O and reactively sputtered Cr-N-O as passivation layers against copper oxidationChuang, Jui-Chang et al. | 1998
- 3027
-
Regular Articles - Role of V-shaped stacking faults in Au-n-type ZnMgSSe:Cl Schottky diodesWang, Ching-Wu et al. | 1998
- 3032
-
Regular Articles - Interface characterization of Si3N4-Si-GaAs heterostructures after high temperature annealingPark, Dae-Gyu et al. | 1998
- 3041
-
Regular Articles - Reduction of oxygen contamination in InGaP and AlGaInP films grown by solid source molecular beam epitaxyHoke, W.E. et al. | 1998
- 3048
-
Regular Articles - Surface chemistry of Il-VI semiconductor ZnSe studied by time of flight secondary ion mass spectrometry and x-ray photoelectron spectroscopyZhao, J. et al. | 1998
- 3055
-
Regular Articles - Evaluation of development speed of a photoresist by means of electrical conductivity measurementTakeda, T. et al. | 1998
- 3059
-
Regular Articles - Investigation of Ta-RuO2 diffusion barrier for high density memory capacitor applicationsYoon, Dong-Soo et al. | 1998
- 3065
-
Regular Articles - Nonerratic behavior of overerased bits in flash EEPROMNkansah, F.D. et al. | 1998
- 3069
-
Regular Articles - Vacuum properties of a new panel structure for field emission displaysCho, Y.R. et al. | 1998
- 3073
-
Regular Articles - Effect of CH4 on the electron emission characteristics of active molybdenum field emitter arraysChalamala, Babu R. et al. | 1998
- 3077
-
Regular Articles - Easy and reproducible method for making sharp tips of Pt-IrLindahl, J. et al. | 1998
- 3082
-
Regular Articles - Microelectron gun with silicon field emitterEndo, Yasuhiro et al. | 1998
- 3086
-
Regular Articles - Self-heating effects in a InP-CdS-LaS cold cathodeMalhotra, Aashish et al. | 1998
- 3097
-
Rapid Communications - Experimental demonstration of the validity of accelerated radiation damage testing of x-ray mask materialsAcosta, R.E. et al. | 1998
- 3099
-
Rapid Communications - Sputtering rate change and surface roughening during oblique and normal incidence O+-2 bombardment of silicon, with and without oxygen floodingMagee, Charles W. et al. | 1998
- 3105
-
Rapid Communications - Ohmic contacts to p-type GaN using a Ni-Pt-Au metallization schemeJang, Ja-Soon et al. | 1998
- 3108
-
Rapid Communications - Thermally induced interface degradation in (100) and (111) Si-SiO2 analyzed by electron spin resonanceStesmans, A. et al. | 1998
- 3112
-
Shop Notes - Enabling in situ atomic-scale characterization of epitaxial surfaces and interfacesSmathers, J.B. et al. | 1998
- 3126
-
Papers from the 42nd International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Preface| 1998
- 3127
-
Plenary Session - Atom technology project: Recent activitiesTanaka, Kazunobu et al. | 1998
- 3132
-
Plenary Session - Nanofabrication in cellular engineeringWilkinson, C.D.W. et al. | 1998
- 3137
-
Manufacturing Lithographies for 130 nm and Below - Challenges and progress in x-ray lithographySilverman, Jerome P. et al. | 1998
- 3142
-
Manufacturing Lithographies for 130 nm and Below - Extreme ultraviolet lithographyGwyn, C.W. et al. | 1998
- 3150
-
Manufacturing Lithographies for 130 nm and Below - Ion projection lithography: Status of the MEDEA project and United States-European cooperationGross, Gerhard et al. | 1998
- 3154
-
Manufacturing Lithographies for 130 nm and Below - Critical issues in 157 nm lithographyBloomstein, T.M. et al. | 1998
- 3158
-
Advanced Electron Beam Technologies for Mask Making and Direct Write - Electron beam lithography process for advanced optical masksHayashi, Naoya et al. | 1998
- 3164
-
Advanced Electron Beam Technologies for Mask Making and Direct Write - EL5: One tool for advanced x-ray and chrome on glass mask makingSturans, M.A. et al. | 1998
- 3168
-
Advanced Electron Beam Technologies for Mask Making and Direct Write - Distributed, multiple variable shaped electron beam column for high throughput maskless lithographyGroves, T.R. et al. | 1998
- 3174
-
Advanced Electron Beam Technologies for Mask Making and Direct Write - Multiplexed blanker array for parallel electron beam lithographyWinograd, G.I. et al. | 1998
- 3177
-
Advanced Electron Beam Technologies for Mask Making and Direct Write - High throughput electron lithography with the multiple aperture pixel by pixel enhancement of resolution conceptKruit, P. et al. | 1998
- 3181
-
Advanced Electron Beam Technologies for Mask Making and Direct Write - Experimental evaluation of a miniature electrostatic thin-foil electron optical column for high current and low-voltage operationWinkler, Dieter et al. | 1998
- 3185
-
Advanced Electron Beam Technologies for Mask Making and Direct Write - Micromachined ultrasharp silicon and diamond-coated silicon tip as a stable field-emission electron source and a scanning probe microscopy sensor with atomic sharpnessRangelow, I.W. et al. | 1998
- 3192
-
Advanced Electron Beam Technologies for Mask Making and Direct Write - Patterned negative electron affinity photocathodes for maskless electron beam lithographySchneider, J.E. et al. | 1998
- 3197
-
Advanced Electron Beam Technologies for Mask Making and Direct Write - Critical dimension control at stitched subfield boundaries in a high-throughput SCALPEL(R) systemStanton, Stuart T. et al. | 1998
- 3202
-
Advanced Electron Beam Technologies for Mask Making and Direct Write - Pattern dependent alignment technique for mix-and-match electron-beam lithography with optical lithographyGotoh, Yasuko et al. | 1998
- 3206
-
Advanced Electron Beam Technologies for Mask Making and Direct Write - Electron-optical optimization for Gaussian, high-current, high-dose columnsMankos, Marian et al. | 1998
- 3211
-
Advanced Electron Beam Technologies for Mask Making and Direct Write - Simulation of Coulomb interactions in electron beam lithography systems -- A comparison of theoretical modelsStickel, W. et al. | 1998
- 3215
-
Advanced Electron Beam Technologies for Mask Making and Direct Write - Performance investigation of Coulomb interaction-limited high throughput electron beam lithography based on empirical modelingHan, Liqun et al. | 1998
- 3221
-
Advanced Electron Beam Technologies for Mask Making and Direct Write - Rigorous simulation of statistical electron-electron interactions with fast multipole acceleration and a network of workstationsWen, Victor S.H. et al. | 1998
- 3227
-
Advanced Electron Beam Technologies for Mask Making and Direct Write - Mask electron modeling for Coulomb interaction by mask-scattered electrons in electron-beam projection lithographyYamashita, Hiroshi et al. | 1998
- 3232
-
Electron Beam Lithography - Optical data storage in LiF using electron beam encodingCaine, E.J. et al. | 1998
- 3237
-
Electron Beam Lithography - Fabrication of electron beam generated, chirped, phase mask (1070.11-1070.66 nm) for fiber Bragg grating dispersion compensatorTiberio, R.C. et al. | 1998
- 3241
-
Electron Beam Lithography - Experimental verification of the TEMPTATION (temperature simulation) software toolBabin, Sergey et al. | 1998
- 3248
-
Electron Beam Lithography - Lie algebraic aberration theory and calculation method for combined electron beam focusing-deflection systemsHu, Kangyan et al. | 1998
- 3256
-
Electron Beam Lithography - Characterizing GHOST proximity effect correction effectiveness by determining the worst-case errorWatson, G.Patrick et al. | 1998
- 3262
-
Electron Beam Lithography - Accuracy and efficiency in electron beam proximity effect correctionWind, S.J. et al. | 1998
- 3269
-
Electron Beam Lithography - Adaptive selection of control points for improving accuracy and speed of proximity effect correctionLee, Soo-Young et al. | 1998
- 3275
-
Electron Beam Lithography - Parallel and hierarchical postprocessing for variable spot electron beam lithographyDick, Gregory J. et al. | 1998
- 3279
-
Electron Beam Lithography - Mask bias requirement for 0.13 mm e-beam block exposure lithographyTakahashi, Kimitoshi et al. | 1998
- 3284
-
Electron Beam Lithography - Comparative study of AZPN114 and SAL601 chemically amplified resists for electron beam nanolithographyCui, Zheng et al. | 1998
- 3289
-
Electron Beam Lithography - Comparison of negative resists for 100 nm electron-beam direct write and mask making applicationsNordquist, K.J. et al. | 1998
- 3294
-
Ion Beam Technology - Masked ion beam lithography with highly charged ionsGillaspy, J.D. et al. | 1998
- 3298
-
Ion Beam Technology - Electronic desorption of alkyl monolayers from silicon by very highly charged ionsSchenkel, T. et al. | 1998
- 3301
-
Ion Beam Technology - Red luminescence from a focused ion beam modified silicon surfaceErickson, L.E. et al. | 1998
- 3305
-
Ion Beam Technology - In situ Ga+ focused ion beam definition of high current density resonant tunneling diodesSee, P. et al. | 1998
- 3311
-
Ion Beam Technology - Study of precursor gases for focused ion beam insulator depositionEdinger, K. et al. | 1998
- 3315
-
Etching and Deposition - Influence of edge roughness in resist patterns on etched patternsNamatsu, Hideo et al. | 1998
- 3322
-
Etching and Deposition - Dry development in an O2-SO2 plasma for sub-0.18 mm top layer imaging processesGoethals, A.M. et al. | 1998
- 3334
-
Etching and Deposition - Can dry-etching systems be designed for low damage ab initio?Deng, L.G. et al. | 1998
- 3339
-
Etching and Deposition - Observation of the trajectories of particles in process equipment by an in situ monitoring system using a laser light scattering methodIto, Natsuko et al. | 1998
- 3344
-
Etching and Deposition - Fabrication of Cu interconnects of 50 nm linewidth by electron-beam lithography and high-density plasma etchingHsu, Y. et al. | 1998
- 3349
-
Etching and Deposition - Patterning of thin film NiMnSb using inductively coupled plasma etchingHong, J. et al. | 1998
- 3354
-
Etching and Deposition - Metallization-induced damage in III-V semiconductorsChen, Ching-Hui et al. | 1998
- 3359
-
Etching and Deposition - Electrical and optical characteristics of etch induced damage in InGaAsBerg, E.W. et al. | 1998
- 3364
-
Etching and Deposition - Kinetics of Si growth by an electron-beam-irradiation technique using a Si2H6 sourceHirose, F. et al. | 1998
- 3367
-
Electron and Ion Beam Optics and Sources - Plasma source for ion and electron beam lithographyLee, Y. et al. | 1998
- 3370
-
Electron and Ion Beam Optics and Sources - Characteristics of focused beam spots using negative ion beams from a compact surface plasma source and merits for new applicationsGuharay, S.K. et al. | 1998
- 3374
-
Electron and Ion Beam Optics and Sources - Photoemission from gold thin films for application in multiphotocathode arrays for electron beam lithographyJiang, Xinrong et al. | 1998
- 3380
-
Electron and Ion Beam Optics and Sources - Lifetime and reliability results for a negative electron affinity photocathode in a demountable vacuum systemSen, P. et al. | 1998
- 3385
-
Electron and Ion Beam Optics and Sources - Electron scattering and transmission through SCALPEL masksMkrtchyan, M.M. et al. | 1998
- 3392
-
Optical Lithography - Imaging interferometric lithography: A wavelength division multiplex approach to extending optical lithographyChen, Xiaolan et al. | 1998
- 3398
-
Optical Lithography - 0.18 mm KrF lithography using optical proximity correction based on empirical behavior modelingTritchkov, Alexander et al. | 1998
- 3405
-
Optical Lithography - Influences of off-axis illumination on optical lens aberrationSmith, Bruce W. et al. | 1998
- 3411
-
Optical Lithography - Evaluation of a two-mask resolution enhancement techniqueWhite, D.L. et al. | 1998
- 3415
-
Optical Lithography - Investigation of new overlay measurement marks for optical lithographySaito, Takashi et al. | 1998
- 3419
-
Optical Lithography - Thermal annealing of deep ultraviolet (193 nm) induced compaction in fused silicaPiao, Fan et al. | 1998
- 3422
-
Optical Lithography - Light-coupling masks: An alternative, lensless approach to high-resolution optical contact lithographySchmid, Heinz et al. | 1998
- 3426
-
Optical Lithography - Zone-plate-array lithography in the deep ultravioletDjomehri, Ihsan J. et al. | 1998
- 3430
-
EUV Lithography - At-wavelength detection of extreme ultraviolet lithography mask blank defectsJeong, Seongtae et al. | 1998
- 3435
-
EUV Lithography - High-accuracy interferometry of extreme ultraviolet lithographic optical systemsGoldberg, Kenneth A. et al. | 1998
- 3440
-
EUV Lithography - Thermal-mechanical performance of extreme ultraviolet lithographic reticlesGianoulakis, Steven E. et al. | 1998
- 3444
-
EUV Lithography - Imaging properties of the extreme ultraviolet maskBollepalli, B.S. et al. | 1998
- 3449
-
EUV Lithography - Rigorous simulation of mask corner effects in extreme ultraviolet lithographyPistor, Thomas V. et al. | 1998
- 3456
-
X-Ray Technology - Aspheric collimator for a point source x-ray lithography systemSingh-Gasson, S. et al. | 1998
- 3462
-
X-Ray Technology - Design study of compact beam lines for x-ray lithographyToyota, Eijiro et al. | 1998
- 3466
-
X-Ray Technology - Advanced synchrotron radiation stepper alignment system performanceSentoku, Koichi et al. | 1998
- 3471
-
X-Ray Technology - Nanometer scattered-light alignment system using SiC x-ray masks with low optical transparencyMiyatake, Tsutomu et al. | 1998
- 3476
-
X-Ray Technology - Wafer chuck for magnification correction in x-ray lithographyFeldman, M. et al. | 1998
- 3480
-
X-Ray Technology - Mask membrane deflection caused by mask tilt during the wafer stepping motion in x-ray steppersUchida, Norio et al. | 1998
- 3485
-
X-Ray Technology - Overlay modeling for proximity x-ray lithographyChen, Alek C. et al. | 1998
- 3491
-
X-Ray Technology - Properties of sputtered TaReGe used as an x-ray mask absorber materialYoshihara, Takuya et al. | 1998
- 3495
-
X-Ray Technology - Characteristics of Ta-based amorphous alloy film for x-ray mask absorbersIba, Yoshihisa et al. | 1998
- 3500
-
X-Ray Technology - Temperature gradients during absorber etching and their effect on x-ray mask patterningPendharkar, S.V. et al. | 1998
- 3504
-
X-Ray Technology - Low-dose exposure technique for 100-nm-diam hole replication in x-ray lithographyFujii, K. et al. | 1998
- 3509
-
X-Ray Technology - 130 nm and 150 nm line-and-space critical-dimension control evaluation using XS-1 x-ray stepperTanaka, Y. et al. | 1998
- 3515
-
X-Ray Technology - Characterization of pattern geometrical effect on line end shortening in x-ray lithographyYi, M. et al. | 1998
- 3521
-
X-Ray Technology - Edge diffraction enhanced printability in x-ray nanolithographyChen, Y. et al. | 1998
- 3526
-
Applications of Hard X Rays - Recent developments in deep x-ray lithographyEhrfeld, Wolfgang et al. | 1998
- 3535
-
Applications of Hard X Rays - Surface photochemistry induced by x-ray irradiationRosenberg, Richard A. et al. | 1998
- 3539
-
Applications of Hard X Rays - Enhanced adhesion buffer layer for deep x-ray lithography using hard x raysCarlo, Francesco De et al. | 1998
- 3543
-
Applications of Hard X Rays - Adhesion promotion between poly(methylmethacrylate) and metallic surfaces for LiGA evaluated by shear stress measurementsMalek, C.G.Khan et al. | 1998
- 3547
-
Applications of Hard X Rays - Influence of developer temperature and resist material on the structure quality in deep x-ray lithographyPantenburg, F.J. et al. | 1998
- 3552
-
Applications of Hard X Rays - Metrology study of structural transfer accuracy in fabrication of high-aspect-ratio microelectromechanical systems: From optical mask to polished electroplated partsMalek, C.Khan et al. | 1998
- 3558
-
Mask Technology - Assessment of thermal loading-induced distortions in optical photomasks due to e-beam multipass patterningShamoun, Bassam et al. | 1998
- 3563
-
Mask Technology - Aerial image slope and proximity effects on critical dimensions in mask lithographySagle, Allan et al. | 1998
- 3567
-
Mask Technology - Improving pattern placement using through-the-membrane signal monitoringPerkins, F.K. et al. | 1998
- 3572
-
Mask Technology - Pattern transfer on mask membranesFisher, A.H. et al. | 1998
- 3577
-
Mask Technology - Etching processes and characteristics for the fabrication of refractory x-ray masksLercel, Michael J. et al. | 1998
- 3582
-
Mask Technology - Commercialization of SCALPEL masksFarrow, R.C. et al. | 1998
- 3587
-
Mask Technology - Dynamic analysis of a SCALPEL mask during electron-beam exposureSemke, W.H. et al. | 1998
- 3592
-
Mask Technology - p-n junction-based wafer flow process for stencil mask fabricationRangelow, I.W. et al. | 1998
- 3599
-
Mask Technology - Fabrication of silicon stencil masks with vitreous carbon ion-absorbing coatingsRuchhoeft, P. et al. | 1998
- 3602
-
Mask Technology - Optimization of the temperature distribution across stencil mask membranes under ion beam exposureKim, B. et al. | 1998
- 3606
-
Mask Technology - Optically induced mask critical dimension error magnification in 248 nm lithographyRandall, John N. et al. | 1998
- 3612
-
Mask Technology - Characteristics of plasma enhanced chemical vapor deposition-grown SiNx films prepared for deep ultraviolet attenuated phase-shifting masksChen, H.L. et al. | 1998
- 3618
-
Mask Technology - Graphite-based x-ray masks for deep and ultradeep x-ray lithographyCoane, Philip et al. | 1998
- 3625
-
Metrology, Alignment and Testing - Optical probing of flip chip packaged microprocessorsPaniccia, Mario et al. | 1998
- 3631
-
Metrology, Alignment and Testing - Application of interferometric broadband imaging alignment on an experimental x-ray stepperMoon, Euclid E. et al. | 1998
- 3637
-
Metrology, Alignment and Testing - Performance of adaptive alignment method on asymmetric signalsChen, X. et al. | 1998
- 3642
-
Metrology, Alignment and Testing - Exploiting structure of wafer distortion in global alignmentGhazanfarian, Amir A. et al. | 1998
- 3647
-
Metrology, Alignment and Testing - Phase shift microscopesFeldman, M. et al. | 1998
- 3651
-
Metrology, Alignment and Testing - Quantitation of latent resist images using photon tunneling microscopyLiddle, J.A. et al. | 1998
- 3655
-
Metrology, Alignment and Testing - Economical sampling algorithm using Fourier analysis for mapping wafer critical dimension variationsOuyang, Xu et al. | 1998
- 3661
-
Metrology, Alignment and Testing - Evaluation of total uncertainty in the dimension measurements using critical-dimension measurement scanning electron microscopesMizuno, Fumio et al. | 1998
- 3668
-
Metrology, Alignment and Testing - Whole film inspection using an extended sourceAiyer, Arun et al. | 1998
- 3672
-
Metrology, Alignment and Testing - Scintillating global-fiducial grid for electron-beam lithographyGoodberlet, James et al. | 1998
- 3676
-
Resists for 100 nm and Below - Resist processes for hybrid (electron-beam-deep ultraviolet) lithographyTedesco, S. et al. | 1998
- 3684
-
Resists for 100 nm and Below - Negative electron-beam nanotabrication resist using acid-catalyzed protection of polyphenol provided by phenylcarbinolUchino, Shou-ichi et al. | 1998
- 3689
-
Resists for 100 nm and Below - Deep-ultraviolet interferometric lithography as a tool for assessment of chemically amplified photoresist performanceHinsberg, W. et al. | 1998
- 3695
-
Resists for 100 nm and Below - Nanolithography in polymethylmethacrylate: An atomic force microscope studyDobisz, Elizabeth A. et al. | 1998
- 3701
-
Resist Technology - Copolymer approach to charge-dissipating electron-beam resistsHupcey, Maggie A.Z. et al. | 1998
- 3705
-
Resist Technology - Negative chemically amplified resist characterization for direct write and SCALPEL nanolithographyOcola, L.E. et al. | 1998
- 3709
-
Resist Technology - Chemically amplified resist processing With top coats for deep-ultraviolet and e-beam applicationsPetrillo, Karen et al. | 1998
- 3716
-
Resist Technology - 193 nm single layer resist strategies, concepts, and recent resultsNalamasu, O. et al. | 1998
- 3722
-
Resist Technology - Top surface imaging process and materials development for 193 nm and extreme ultraviolet lithographyRao, Veena et al. | 1998
- 3726
-
Resist Technology - Dissolution characteristics of chemically amplified 193 nm resistsItani, Toshiro et al. | 1998
- 3730
-
Resist Technology - Single layer chemical vapor deposition photoresist for 193 nm deep ultraviolet photolithographyNault, Mike et al. | 1998
- 3734
-
Resist Technology - Resist design for resolution limit of KrF imaging towards 130 nm lithographyAzuma, T. et al. | 1998
- 3739
-
Resist Technology - Reduction of line edge roughness in the top surface imaging processMori, Shigeyasu et al. | 1998
- 3744
-
Resist Technology - Pattern collapse in the top surface imaging process after dry developmentMori, Shigeyasu et al. | 1998
- 3748
-
Resist Technology - Process dependence of roughness in a positive-tone chemically amplified resistHe, D. et al. | 1998
- 3752
-
Resist Technology - Novel methodology for postexposure bake calibration and optimization based on electrical linewidth measurement and process metamodelingCapodieci, Luigi et al. | 1998
- 3759
-
Resist Technology - Real-time Fourier transform infrared spectroscopy study of the kinetics of acid-catalyzed negative-tone resists based on hexamethoxymethylmelamine and phenolic resinsDentinger, Paul M. et al. | 1998
- 3767
-
Resist Technology - On-wafer photoacid determination and imaging technique for chemically amplified photoresistsDentinger, Paul M. et al. | 1998
- 3773
-
Resist Technology - Electron-beam nanolithography, acid diffusion, and chemical kinetics in SAL-601Dobisz, Elizabeth A. et al. | 1998
- 3779
-
Resist Technology - Modeling solvent diffusion in photoresistMack, C.A. et al. | 1998
- 3784
-
Resist Technology - Shot-noise and edge roughness effects in resists patterned at 10 nm exposureRau, Nicholas et al. | 1998
- 3789
-
Nanotechnology: Devices - Coulomb blockade devices fabricated by liquid metal ion source droplet depositionVieu, C. et al. | 1998
- 3795
-
Nanotechnology: Devices - Demonstration of a functional quantum-dot cellular automata cellAmlani, Islamshah et al. | 1998
- 3800
-
Nanotechnology: Devices - Fabrication of self-aligned metallic Coulomb blockade devices on Si nanowiresFord, E.M. et al. | 1998
- 3804
-
Nanotechnology: Devices - Direct patterning of single electron tunneling transistors by high resolution electron beam lithography on highly doped molecular beam epitaxy grown silicon filmsKoester, T. et al. | 1998
- 3808
-
Nanotechnology: Devices - Double electron layer tunneling transistors by dual-side electron beam lithographyWendt, J.R. et al. | 1998
- 3812
-
Nanotechnology: Devices - Fabrication and characterization of buried subchannel n-implant-metal-oxide-semiconductor-transistorsWang, W. et al. | 1998
- 3817
-
Nanotechnology: Devices - Microdisk laser structures for mode control and directional emissionBackes, S.A. et al. | 1998
- 3821
-
Nanotechnology: Devices - Measurement of nanomechanical resonant structures in single-crystal siliconCarr, D.W. et al. | 1998
- 3825
-
Nanotechnology: Devices - Large area high density quantized magnetic disks fabricated using nanoimprint lithographyWu, Wei et al. | 1998
- 3830
-
Nanotechnology: Devices - Fabrication of magnetic submicron-wire channels for the investigation of magnetization reversalChen, Y. et al. | 1998
- 3835
-
Nanotechnology: Devices - Monolithic nanofluid sieving structures for DNA manipulationTurner, S.W. et al. | 1998
- 3841
-
Nanotechnology: Atom Manipulation - Using neutral atoms and standing light waves to form a calibration artifact for length metrologyThywissen, J.H. et al. | 1998
- 3846
-
Nanotechnology: Atom Manipulation - Sharp edged silicon structures generated using atom lithography with metastable helium atomsLu, Weijian et al. | 1998
- 3850
-
Nanotechnology: Atom Manipulation - Absorptive masks of light: A useful tool for spatial probing in atom opticsKeller, Claudia et al. | 1998
- 3855
-
Nanotechnology: Atom Manipulation - Atomic beam holography for nanofabricationFujita, J. et al. | 1998
- 3859
-
Nanotechnology: Atom Manipulation - Particle manipulation and surface patterning by laser guidanceRenn, Michael J. et al. | 1998
- 3864
-
Nanotechnology: Fabrication - Electron beam and scanning probe lithography: A comparisonWilder, Kathryn et al. | 1998
- 3874
-
Nanotechnology: Fabrication - Approaches to nanofabrication on Si(100) surfaces: Selective area chemical vapor deposition of metals and selective chemisorption of organic moleculesAbeln, G.C. et al. | 1998
- 3879
-
Nanotechnology: Fabrication - Nanolithography of metal films using scanning force microscope patterned carbon masksMühl, T. et al. | 1998
- 3883
-
Nanotechnology: Fabrication - Modification of YBa2Cu3O7-d wires using a scanning tunneling microscope: Process and electrical transport effectsBertsche, G. et al. | 1998
- 3887
-
Nanotechnology: Fabrication - Fabrication of high-density nanostructures by electron beam lithographyDial, O. et al. | 1998
- 3891
-
Nanotechnology: Fabrication - Small aperture fabrication for single quantum dot spectroscopyPark, D. et al. | 1998
- 3894
-
Nanotechnology: Fabrication - 25 nm pitch GaInAs-InP buried structure: Improvement by calixarene as an electron beam resist and tertiarybutylphosphine as a P source in organometallic vapor phase epitaxy regrowthMiyamoto, Y. et al. | 1998
- 3899
-
Nanotechnology: Fabrication - Focused ion-beam patterning of nanoscale ferroelectric capacitorsStanishevsky, A. et al. | 1998
- 3903
-
Nanotechnology: Fabrication - Artificial dielectric optical structures: A challenge for nanofabricationGiaconia, C. et al. | 1998
- 3906
-
Nanotechnology: Fabrication - InGaAsP photonic band gap crystal membrane microresonatorsScherer, A. et al. | 1998
- 3911
-
Nanotechnology: Fabrication - Nanoscale freestanding gratings for ultraviolet blocking filtersBeek, J.T.M.van et al. | 1998
- 3917
-
Nanotechnology: Fabrication - Problems of the nanoimprinting technique for nanometer scale pattern definitionScheer, H.-C. et al. | 1998
- 3922
-
Nanotechnology: Fabrication - Multilayer resist methods for nanoimprint lithography on nonflat surfacesSun, Xiaoyun et al. | 1998
- 3926
-
Nanotechnology: Fabrication - Roller nanoimprint lithographyTan, Hua et al. | 1998
- 3929
-
Nanotechnology: Fabrication - Nanolithography using wet etched silicon nitride phase masksAlkaisi, M.M. et al. | 1998
- 3934
-
Nanotechnology: Fabrication - Regular array of Si nanopillars fabricated using metal clustersTada, Tetsuya et al. | 1998
- 3938
-
Nanotechnology: Fabrication - Oxidation properties of silicon dots on silicon oxide investigated using energy filtering transmission electron microscopySingle, C. et al. | 1998
- 3943
-
Nanotechnology: Fabrication - Fabrication of metallic point contacts: A new approach for devices with a multilayer or a heterointerfaceGribov, N.N. et al. | 1998
- 3948
-
Nanotechnology: Fabrication - Fabrication of multipurpose piezoresistive Wheatstone bridge cantilevers with conductive microtips for electrostatic and scanning capacitance microscopyGotszalk, T. et al. | 1998
- 3954
-
AUTHOR INDEX| 1998
- 3957
-
INDEX - Summary of the Physics and Astronomy Classification Scheme -- (PACS)| 1998
- 3958
-
INDEX - PACS Headings Used in the Present Index| 1998
- 3963
-
INDEX - Subject Index to Volume 16| 1998
- 4022
-
INDEX - Author Index to Volume 16| 1998
- 4109
-
INDEX - Materials Index to Volume 16| 1998