Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures Processing, Measurement, and Phenomena
The tables of contents are generated automatically and are based on the data records of the individual contributions available in the index of the TIB portal. The display of the Tables of Contents may therefore be incomplete.
Table of contents
- 1
-
Undercut structure fabricated by complementary-structure micropatterning technique for the passive-matrix display of organic light-emitting diodesXing, Rubo / Xuan, Yu / Ma, Dongge et al. | 2008
- 6
-
Fabrication and performance of nanoscale ultrasmooth programed defects for extreme ultraviolet lithographyOlynick, D. L. / Salmassi, F. / Liddle, J. A. et al. | 2008
- 11
-
Studies of fluorocarbon film deposition and its correlation with etched trench sidewall angle by employing a gap structure using and based capacitively coupled plasmasLing, Li / Hua, X. / Zheng, L. et al. | 2008
- 23
-
Mobility-diffusivity relationship for semiconductor nanowiresKhan, Arif / Noor Mohammad, S. et al. | 2008
- 28
-
Double oxidation scheme for tunnel junction fabricationHolmqvist, T. / Meschke, M. / Pekola, J. P. et al. | 2008
- 32
-
Turn-on field distribution of field-emitting sites in carbon nanotube film: Study with luminescent imageLiu, Weihua / Zeng, Fanguang / Xin, Li et al. | 2008
- 36
-
Exposure latitude of deep-ultraviolet conformable contact photolithographyFucetola, C. P. / Carter, D. J. D. / Goodberlet, J. G. et al. | 2008
- 41
-
Structural and electrical investigation of laser annealed thin filmsWang, Jyh-Liang / Lai, Yi-Sheng / Liou, Sz-Chian et al. | 2008
- 47
-
Enhanced local oxidation of silicon using a conducting atomic force microscope in waterHilton, A. M. / Jacobson, K. W. / Lynch, B. P. et al. | 2008
- 52
-
Optical properties of -doped epitaxial layers grown by metal-organic chemical-vapor deposition in mid and far IR rangeWeerasekara, A. B. / Hu, Z. G. / Dietz, N. et al. | 2008
- 56
-
GaSb based midinfrared equilateral-triangle-resonator semiconductor lasersYu, S.-Q. / Cao, Y. / Johnson, S. R. et al. | 2008
- 62
-
Grayscale lithography by a polymer photomask doped with laser dyeKorivi, N. S. / Zhou, Y. X. / Jiang, L. et al. | 2008
- 67
-
Improvement of the wiggling profile of spin-on carbon hard mask by plasma treatmentTadokoro, M. / Yonekura, K. / Yoshikawa, K. et al. | 2008
- 72
-
Nonlocal reduced boron diffusivity in silicon below strained surfacesCarroll, Malcolm S. / Suh, Y. S. / Levy, R. et al. | 2008
- 76
-
Nanostructuring GaN using microsphere lithographyNg, W. N. / Leung, C. H. / Lai, P. T. et al. | 2008
- 80
-
Flare-variation compensation for line and space pattern for device manufacturing on extreme-ultraviolet lithographyAoyama, H. / Iriki, N. / Tanaka, T. et al. | 2008
- 84
-
Potential of phase-shifted optical proximity correction for T-shaped pattern in high numerical aperture lithographyGao, Songbo / Li, Yanqiu et al. | 2008
- 89
-
Atomic diffusion and interface electronic structure at heterojunctionsSmith, P. E. / Lueck, M. / Ringel, S. A. et al. | 2008
- 96
-
Study of pretreatment prior to silicon-oxycarbide deposition on Cu interconnectHuang, Chun-Chieh / Huang, Jow-Lay / Wang, Ying-Lang et al. | 2008
- 102
-
Surface structure characterization of nanodiamond thin film for electronic field emission applicationsXie, F. Y. / Xie, W. G. / Chen, J. et al. | 2008
- 106
-
Study of high-brightness flat-panel lighting source using carbon-nanotube cathodeZhang, Yu / Deng, S. Z. / Duan, C. Y. et al. | 2008
- 110
-
Effective energy densities in KrF excimer laser reformation as a sidewall smoothing techniqueLiang, Eih-Zhe / Hung, Shih-Che / Hsieh, Ya-Ping et al. | 2008
- 117
-
Facet formation and lateral overgrowth of selective Ge epitaxy on -patterned Si(001) substratesPark, Ji-Soo / Bai, Jie / Curtin, Michael et al. | 2008
- 122
-
Fabrication of silicon kinoform lenses for hard x-ray focusing by electron beam lithography and deep reactive ion etchingStein, Aaron / Evans-Lutterodt, Kenneth / Bozovic, Natasha et al. | 2008
- 128
-
Photoresist characterization using double exposures with interference lithographyO’Reilly, Thomas B. / Smith, Henry I. et al. | 2008
- 132
-
Novel hydrostatic pressuring mechanism for soft UV-imprinting processesCheng, Fang-Sung / Yang, Sen-Yeu / Chen, Chien-Chang et al. | 2008
- 137
-
Analysis of temperature-dependent barrier heights in erbium-silicided Schottky diodesJun, Myungsim / Jang, Moongyu / Kim, Yarkeon et al. | 2008
- 141
-
Effect of temperature on copper damascene chemical mechanical polishing processKakireddy, Veera Raghava / Mudhivarthi, Subrahmanya / Kumar, Ashok et al. | 2008
- 151
-
Patterned wafer defect density analysis of step and flash imprint lithographyMcMackin, I. / Martin, W. / Perez, J. et al. | 2008
- 156
-
Soft photocurable nanoimprint lithography for compound semiconductor nanostructuresMeneou, K. / Cheng, K. Y. et al. | 2008
- 159
-
Electrical conductivity of ultra-thin silicon nanowiresRochdi, Nabil / Tonneau, Didier / Jandard, Franck et al. | 2008
- 164
-
Erbium silicide formation and its contact properties on Si(100)Huang, W. / Ru, G. P. / Jiang, Y. L. et al. | 2008
- 171
-
Luminescence uniformity studies on dendrite bamboo carbon submicron-tube field-emitter arraysLi, Xin / Ding, Fuqiang / Liu, Weihua et al. | 2008
- 175
-
Structural and electrical studies of conductive nanowires prepared by focused ion beam induced depositionReguer, A. / Bedu, F. / Tonneau, D. et al. | 2008
- 181
-
Plasma reactor dry cleaning strategy after TiN, TaN and etching processesRamos, R. / Cunge, G. / Joubert, O. et al. | 2008
- 189
-
Hybrid nanofabrication processes utilizing diblock copolymer nanotemplate prepared by self-assembled monolayer based surface neutralizationKim, Su-Jin / Maeng, W. J. / Lee, S. K. et al. | 2008
- 195
-
Measurements of local optical properties of Si-doped GaAs (110) surfaces using modulation scanning tunneling microscope cathodoluminescence spectroscopyWatanabe, Kentaro / Nakamura, Yoshiaki / Ichikawa, Masakazu et al. | 2008
- 201
-
Inelastic deformability of nanopillar by focused-ion-beam chemical vapor depositionShibutani, Yoji / Yoshioka, Toshiyuki et al. | 2008
- 206
-
Fabrication of single-crystalline film on silicon substrate using thin film transfer technologyLiu, Weili / Zhan, Da / Ma, Xiaobo et al. | 2008
- 209
-
Description of field emission current/voltage characteristics in terms of scaled barrier field values (-values)Forbes, Richard G. et al. | 2008
- 214
-
Low-temperature -axis oriented growth of nanocrystalline ZnO thin films on Si substrates by plasma assisted pulsed laser depositionShao, J. / Shen, Y. Q. / Sun, J. et al. | 2008
- 219
-
Mechanistic study of plasma damage of low dielectric surfacesBao, J. / Shi, H. / Liu, J. et al. | 2008
- 227
-
Surface plasmon assisted contact scheme nanoscale photolithography using an UV lampShao, Dongbing / Chen, Shaochen et al. | 2008
- 232
-
Low energy electron-excited nanoscale luminescence spectroscopy studies of intrinsic defects in and stacksStrzhemechny, Y. M. / Bataiev, M. / Tumakha, S. P. et al. | 2008
- 244
-
Novel photocurable epoxy siloxane polymers for photolithography and imprint lithography applicationsWang, Pei-I / Nalamasu, O. / Ghoshal, Rajat et al. | 2008
- 249
-
Proximity effects in nanoscale patterning with high resolution electron beam induced depositionCrozier, Peter A. et al. | 2008
- 255
-
Effect of bis-(3-sodiumsulfopropyl disulfide) byproducts on copper defects after chemical mechanical polishingHung, Chi-Cheng / Lee, Wen-Hsi / Hu, Shao-Yu et al. | 2008
- 260
-
Hot embossing by Joule heatingLee, J. C. / Leu, I. C. / Lai, K. L. et al. | 2008
- 267
-
Junction formation and its device impact through the nodes: From single to coimplants, from beam line to plasma, from single ions to clusters, and from rapid thermal annealing to laser thermal processingGossmann, Hans-Joachim L. et al. | 2008
- 273
-
Simulation of doping profile formation: Historical evolution, and present strengths and weaknessesZechner, C. / Moroz, V. et al. | 2008
- 281
-
Ultrashallow junctions formed by C coimplantation with spike plus submelt laser annealingFelch, S. B. / Collart, E. / Parihar, V. et al. | 2008
- 286
-
Realization of ultrashallow junctions by plasma immersion ion implantation and laser annealingVervisch, V. / Etienne, H. / Torregrosa, F. et al. | 2008
- 293
-
Optimum activation and diffusion with a combination of spike and flash annealingPaul, S. / Lerch, W. / Chan, J. et al. | 2008
- 298
-
Characterization of an ultrashallow junction structure using angle resolved x-ray photoelectron spectroscopy and medium energy ion scatteringSaheli, G. / Conti, G. / Uritsky, Y. et al. | 2008
- 305
-
Bragg diffraction, synchrotron x-ray reflectance, and x-ray photoelectron spectroscopy studies of low temperature plasma oxidation of native on silicon on insulatorBhargava, M. / Donner, W. / Srivastava, A. K. et al. | 2008
- 310
-
Advances in optical carrier profiling through high-frequency modulated optical reflectanceBogdanowicz, Janusz / Dortu, Fabian / Clarysse, Trudo et al. | 2008
- 317
-
Advanced carrier depth profiling on Si and Ge with micro four-point probeClarysse, Trudo / Eyben, Pierre / Parmentier, Brigitte et al. | 2008
- 322
-
Impact of band gap narrowing and surface recombination on photoelectrothermal modulated optical reflectance power curvesDortu, Fabian / Bogdanowicz, Janusz / Clarysse, Trudo et al. | 2008
- 333
-
Effect of low Ge content on B diffusion in amorphous SiGe alloysEdelman, L. A. / Elliman, R. G. / Rubin, L. et al. | 2008
- 338
-
Impact of the environmental conditions on the electrical characteristics of scanning spreading resistance microscopyEyben, Pierre / Mody, Jay / Vemula, Sri Charan et al. | 2008
- 342
-
Defect evolution after germanium preamorphization in silicon on insulator structuresFazzini, P. F. / Cristiano, F. / Dupré, C. et al. | 2008
- 347
-
Interaction of the end of range defect band with the upper buried oxide interface for B and implants in Si and silicon on insulator with and without preamorphizing implantKah, M. / Smith, A. J. / Hamilton, J. J. et al. | 2008
- 351
-
Toward extending the capabilities of scanning spreading resistance microscopy for fin field-effect-transistor-based structuresMody, Jay / Eyben, Pierre / Augendre, Emmanuel et al. | 2008
- 357
-
Level set modeling of the orientation dependence of solid phase epitaxial regrowthMorarka, Saurabh / Rudawski, N. G. / Law, Mark E. et al. | 2008
- 362
-
Comparative study of size dependent four-point probe sheet resistance measurement on laser annealed ultra-shallow junctionsPetersen, Dirch Hjorth / Lin, Rong / Hansen, Torben Mikael et al. | 2008
- 368
-
Boron cathodic arc as an ion source for shallow junction ion implantation of boronWilliams, J. M. / Klepper, C. C. / Chivers, D. J. et al. | 2008
- 373
-
Properties of ultralow energy boron implants using octadecaboraneAmeen, M. S. / Rubin, L. M. / Harris, M. A. et al. | 2008
- 377
-
Evolution of fluorine and boron profiles during annealing in crystalline SiLópez, Pedro / Pelaz, Lourdes / Duffy, Ray et al. | 2008
- 382
-
B clustering in amorphous SiDe Salvador, D. / Bisognin, G. / Di Marino, M. et al. | 2008
- 386
-
He implantation to control B diffusion in crystalline and preamorphized SiBruno, E. / Mirabella, S. / Priolo, F. et al. | 2008
- 391
-
Antimony for -type metal oxide semiconductor ultrashallow junctions in strained Si: A superior dopant to arsenic?Bennett, N. S. / Smith, A. J. / Gwilliam, R. M. et al. | 2008
- 396
-
Probing doping conformality in fin shaped field effect transistor structures using resistorsVandervorst, W. / Jurczak, M. / Everaert, J.-L. et al. | 2008
- 402
-
Doping fin field-effect transistor sidewalls: Impurity dose retention in silicon due to high angle incident ion implants and the impact on device performanceDuffy, R. / Curatola, G. / Pawlak, B. J. et al. | 2008
- 408
-
Application of electron holography to analysis of submicron structuresGribelyuk, M. A. / Domenicucci, A. G. / Ronsheim, P. A. et al. | 2008
- 415
-
Carrier concentration profiling on oxidized surfaces of Si device cross sections by resonant electron tunneling scanning probe spectroscopyBolotov, L. / Nishizawa, M. / Kanayama, T. et al. | 2008
- 420
-
Insights in junction photovoltage based sheet resistance measurements for advanced complementary metal-oxide semiconductorClarysse, Trudo / Moussa, Alain / Zangerle, Thomas et al. | 2008
- 425
-
Defects in Ge and Si caused by implantationa)Hickey, D. P. / Bryan, Z. L. / Jones, K. S. et al. | 2008
- 430
-
P implantation into preamorphized germanium and subsequent annealing: Solid phase epitaxial regrowth, P diffusion, and activationPosselt, M. / Schmidt, B. / Anwand, W. et al. | 2008
- 435
-
Influence of As on the formation of mask-edge defects during stressed solid phase epitaxy in patterned Si wafersRudawski, N. G. / Jones, K. S. / Elliman, R. G. et al. | 2008
- 439
-
Dissolution of extended defects in strained siliconMoroz, Victor / Martin-Bragado, Ignacio / Felch, Susan et al. | 2008
- L1
-
Recent advance in protection technology for extreme ultraviolet lithography masks under low-pressure conditionKim, Jung Hyeun et al. | 2008
- L7
-
Boron nanobelts grown under intensive ion bombardmentLi, W. T. / Boswell, R. / Fitz Gerald, J. D. et al. | 2008
- L10
-
Fabrication of ideally ordered anodic porous alumina with large area by vacuum deposition of Al onto moldNishio, Kazuyuki / Yanagishita, Takashi / Hatakeyama, Sho et al. | 2008
- L13
-
Flexible polymeric rib waveguide with self-align couplers systemHuang, Cheng-Sheng / Wang, Wei-Chih et al. | 2008
- L19
-
Reducing imaging defects in high-resolution photolithographyWang, Fei / Stanton, William A. et al. | 2008