Journal of vacuum science and technology / B
The tables of contents are generated automatically and are based on the data records of the individual contributions available in the index of the TIB portal. The display of the Tables of Contents may therefore be incomplete.
Table of contents
- 2183
-
Comparison of atomic force microscopy imaging methods and roughness determinations for a highly polished quartz surfaceDokou, Eleni et al. | 2002
- 2187
-
Initial oxidation phenomena of heavily phosphorus-doped silicon in dry oxygenKamiura, Y. et al. | 2002
- 2192
-
Silicon oxide contact hole etching employing an environmentally benign processFujita, Kazushi et al. | 2002
- 2199
-
Simulations and experiments of etching of silicon in HBr plasmas for high aspect ratio featuresHwang, Helen H. et al. | 2002
- 2206
-
Resist distribution effect of spin coatingKim, Sang-Kon et al. | 2002
- 2210
-
Reflection high-energy electron diffraction observation of the dynamics of semiconductor quantum dot formation and decayFinnie, P. et al. | 2002
- 2214
-
Improved surface treatments for recycled (100) GaAs substrates in view of molecular-beam epitaxy growth: Auger electron spectroscopy, Raman, and secondary ion mass spectrometry analysesNégri, Fabienne et al. | 2002
- 2219
-
Comparison of in situ and ex situ plasma-treated metalorganic chemical vapor deposition titanium nitride thin filmsLim, Boon Kiat et al. | 2002
- 2225
-
Effect of implant oxide on ultrashallow junction formationLindsay, R. et al. | 2002
- 2229
-
Polymer thickness effects on Bosch etch profilesCraigie, C.J.D. et al. | 2002
- 2233
-
Leveling effects of copper electrolytes with hybrid-mode additivesLin, Kun-Cheng et al. | 2002
- 2238
-
Study of focused ion beam response of GaAs in the nanoscale regimeLugstein, A. et al. | 2002
- 2243
-
Influence of the surface Si-buried oxide interface on extended defect evolution in silicon-on-insulator scaled to 300 °ASaavedra, A.F. et al. | 2002
- 2248
-
Submicron pattern transfer to binary semiconductors via micromolding in capillariesPisignano, Dario et al. | 2002
- 2252
-
Dry etching of GaP with emphasis on selective etching over AlGaPEpple, J.H. et al. | 2002
- 2256
-
Comparative study of Ga- and N-polar GaN films grown on sapphire substrates by molecular beam epitaxyHuang, D. et al. | 2002
- 2265
-
Cryogenic cleavage used in gold substrate productionMazurkiewicz, Jakub et al. | 2002
- 2271
-
Beam-focusing characteristics of the diamond-film field emission arrays with parallel emitter, gates, and in-plane lensZeng, Baoqing et al. | 2002
- 2275
-
Plasma assisted deposition of nanocrystalline BCN thin films and property characterizationCao, Z.X. et al. | 2002
- 2281
-
Evaluation of the ion bombardment energy on silicon dioxide films deposited from O2-TEOS plasmas on Si and unstrained Si0.83Ge0.17-Si substratesGoghero, D. et al. | 2002
- 2288
-
Investigation of active Si pitting and its impact on 0.15 and 0.30 mm n-type metal-oxide-semiconductor and p-type metal-oxide-semiconductor transistorsChua, C.S. et al. | 2002
- 2295
-
Investigations of pulse current electrodeposition for damascene copper metalsChang, Shih-Chieh et al. | 2002
- 2299
-
Effects of Ar inductively coupled plasma exposure on 4H-SIC Schottky rectifiersIp, K. et al. | 2002
- 2303
-
Structure and size distribution of TiO2 nanoparticles deposited on stainless steel meshLi, W. et al. | 2002
- 2309
-
Fabrication of Si field emitter arrays integrated with metal-oxide-semiconductor field-effect transistor driving circuitsNagao, M. et al. | 2002
- 2314
-
Texture and resistivity of dilute binary Cu(Al), Cu(In), Cu(Tl), Cu(Nb), Cu(Ir), and Cu(W) alloy thin filmsGungor, A. et al. | 2002
- 2320
-
Direct printing of polymer microstructures on flat and spherical surfaces using a letterpress techniqueMiller, Scott M. et al. | 2002
- 2328
-
Characteristics of ultrathin Ta and TaN filmsRossnagel, S.M. et al. | 2002
- 2337
-
Direct patterning of nanostructures by field-induced deposition from a scanning tunneling microscope tipHouel, A. et al. | 2002
- 2346
-
Electrical conductivity of sidewall-deposited fluorocarbon polymer in SiO2 etching processesShimmura, T. et al. | 2002
- 2351
-
Thickness metrology and end point control in W chemical vapor deposition process from SiH4-WF6 using in situ mass spectrometryXu, Y. et al. | 2002
- 2361
-
Effects of Ti addition on the morphology, interfacial reaction, and diffusion of Cu on SiO2Liu, C.J. et al. | 2002
- 2367
-
Assessment of extreme ultraviolet-induced charging of subtractive metal lithography masksKlebanoff, L.E. et al. | 2002
- 2375
-
Morphology and luminescence of porous GaN generated via Pt-assisted electroless etchingDiaz, Diego J. et al. | 2002
- 2384
-
Photoresponse characteristics of n-ZnO-p-Si heterojunction photodiodesChoi, Y.S. et al. | 2002
- 2388
-
Scanning tunneling microscopy of endohedral metallofullerene Lu-C82 on C60 filmShi, Bo-Rong et al. | 2002
- 2393
-
Radio-frequency discharge cleaning of silicon-capped Mo-Si multilayer extreme ultraviolet opticsGraham, Samuel et al. | 2002
- 2401
-
Two-dimensional transverse cross-section nanopotentiometry of actively driven buried-heterostructure multiple-quantum-well lasersBan, D. et al. | 2002
- 2408
-
Near-surface deep-trap and bulk deep-trap states in InxGa1-xAs-GaAsHaider, N.C. et al. | 2002
- 2413
-
Effect of photoacid generator concentration on sensitivity, photoacid generation, and deprotection of chemically amplified resistsPawloski, Adam R. et al. | 2002
- 2421
-
Comparing ionized physical vapor deposition and high power magnetron copper seed depositionStout, P.J. et al. | 2002
- 2433
-
Molybdenum-based nanostructured mixed oxides for sensing applications: Effect of the Mo oxide composition on the structure of sol-gel thin filmsTaurino, A. et al. | 2002
- 2441
-
Near-surface electronic defects and morphology of CuIn1-xGaxSe2Strzhemechny, Y.M. et al. | 2002
- 2449
-
Development of the point diffraction interferometer for extreme ultraviolet lithography: Design, fabrication, and evaluationOtaki, K. et al. | 2002
- 2459
-
Fabrication of flexible polymer tubes for micro and nanofluidic applicationsIlic, B. et al. | 2002
- 2466
-
Photoinduced organic nanowires from self-assembled monolayersQiao, Ying-Hong et al. | 2002
- 2472
-
Papers from the Third Low Energy Electron-Microscopy Photoemission Electron Microscopy Workshop - Preface| 2002
- 2473
-
Surface Dynamics, Surface Phase Transitions, Nucleation and Growth - Low energy electron microscopy studies of steps on single crystal thin films of refractory metalsOndrejcek, M. et al. | 2002
- 2478
-
Surface Dynamics, Surface Phase Transitions, Nucleation and Growth - Low energy electron microscopy study of In on Si(111)Pavlovska, A. et al. | 2002
- 2492
-
Surface Dynamics, Surface Phase Transitions, Nucleation and Growth - Growth shapes of Ag crystallites on the Si(111) surfaceTang, W.X. et al. | 2002
- 2496
-
Surface Dynamics, Surface Phase Transitions, Nucleation and Growth - Low energy electron microscopy-diffraction study on growth of Ge on Si(113) surfaceYasue, T. et al. | 2002
- 2500
-
Surface Dynamics, Surface Phase Transitions, Nucleation and Growth - Growth dynamics of titanium silicide nanowires observed with low-energy electron microscopyBennett, P.A. et al. | 2002
- 2505
-
Diamond and Organic Thin-Film Applications - In situ emission microscopy of field emitter cathode arraysMulhollan, G.A. et al. | 2002
- 2509
-
Diamond and Organic Thin-Film Applications - Scanning photoelectron microscopy study of as-grown and heat-treated chemical vapor deposition boron-doped diamond filmsZakharov, A.A. et al. | 2002
- 2514
-
Device Applications and Instrumentation - Photoelectron emission microscopy of ultrathin oxide covered devicesBallarotto, V.W. et al. | 2002
- 2519
-
Device Applications and Instrumentation - Comparison of stigmatically focusing magnetic prisms of square versus round symmetriesKan, H.-C. et al. | 2002
- 2526
-
Device Applications and Instrumentation - Correction of chromatic and spherical aberration in electron microscopy utilizing the time structure of pulsed excitation sourcesSchönhense, G. et al. | 2002
- 2535
-
Magnetic Thin-Film Applications - Scanning electron microscope with polarization analysis: Micromagnetic structures in ultrathin filmsOepen, Hans Peter et al. | 2002
- 2539
-
Magnetic Thin-Film Applications - Low-energy electron microscopy-x-ray magnetic circular dichroism photoemission electron microscopy study of epitaxial MnAs on GaAsBauer, E. et al. | 2002
- 2543
-
Magnetic Thin-Film Applications - Magnetic dichroisms in absorption and photoemission for magnetic characterization in x-ray photoelectron emission microscopyKuch, W. et al. | 2002
- 2561
-
Papers from the 46th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Preface| 2002
- 2562
-
Optical Lithography - Effect of high numerical aperture lens on lithographic performance in 157 nm lithographyItani, Toshiro et al. | 2002
- 2567
-
Optical Lithography - Prospects for photolithography at 121 nmLiberman, V. et al. | 2002
- 2574
-
Optical Lithography - 121.6 nm radiation source for advanced lithographyYan, Jianxun et al. | 2002
- 2578
-
Optical Lithography - Optimizing vacuum ultraviolet attenuated phase shift masking materialsSmith, B.W. et al. | 2002
- 2583
-
Optical Lithography - Polarization contact: Concept and initial assessmentLam, Michael et al. | 2002
- 2589
-
Optical Lithography - Optical imaging properties of dense phase shift feature patternsFritze, M. et al. | 2002
- 2597
-
Optical Lithography - Parallel maskiess optical lithography for prototyping, low-volume production, and researchGil, Dario et al. | 2002
- 2602
-
Optical Lithography - Interference pattern formation from an array of coherent laser beamsLiu, Y. et al. | 2002
- 2606
-
Optical Lithography - Simulation of critical dimension error using Monte Carlo method and its experimental verificationZinn, Sonny Y. et al. | 2002
- 2610
-
Optical Lithography - Operational model for pattern and probe based aberration monitorsRobins, Garth et al. | 2002
- 2617
-
Optical Lithography - Generalized scanning beam interference lithography system for patterning gratings with variable period progressionsPati, G.S. et al. | 2002
- 2622
-
Electron Beam Lithography - Electron projection lithography tool development statusMiura, Takaharu et al. | 2002
- 2627
-
Electron Beam Lithography - Evolution of electron projection optics from variable axis immersion lenses to projection reduction exposure with variable axis immersion lensesStickel, W. et al. | 2002
- 2634
-
Electron Beam Lithography - Direct measurement of chromatic aberrations induced by SiNx continuous membrane maskYahiro, Takehisa et al. | 2002
- 2640
-
Electron Beam Lithography - Development of a mask-scan electron beam mask writerNishimura, Shinsuke et al. | 2002
- 2646
-
Electron Beam Lithography - Digital electrostatic electron-beam array lithographyBaylor, L.R. et al. | 2002
- 2651
-
Electron Beam Lithography - Maskless lithography using low-energy electron beam: Recent results for proof-of-concept systemNakasugi, T. et al. | 2002
- 2657
-
Electron Beam Lithography - Prototype raster multibeam lithography toolCoyle, S.T. et al. | 2002
- 2662
-
Electron Beam Lithography - Distributed axis electron-beam system for lithography and inspection -- Preliminary experimental resultsPickard, D.S. et al. | 2002
- 2666
-
Electron Beam Lithography - Comprehensive model of electron energy depositionHan, Geng et al. | 2002
- 2672
-
Electron Beam Lithography - Development of data conversion system for electron beam projection lithographyKato, Kokoro et al. | 2002
- 2678
-
Electron Beam Lithography - Testing an electrostatic deflection innovation: Initial experimental resultsRetsky, Michael et al. | 2002
- 2682
-
Ion Beam Lithography - Copper device editing: Strategy for focused ion beam milling of copperCasey Jr, J.David et al. | 2002
- 2686
-
Ion Beam Lithography - Graphitization of Fe-doped amorphous carbon pillars grown by focused-ion-beam-induced chemical-vapor depositionFujita, J. et al. | 2002
- 2690
-
Ion Beam Lithography - Conductance-atomic force microscope characterization of focused ion beam chip repair processesMarchman, H. et al. | 2002
- 2695
-
Ion Beam Lithography - End point of silicon milling using an optical beam induced current signal for controlled access to integrated circuits for backside circuit editingAntoniou, N. et al. | 2002
- 2700
-
Ion Beam Lithography - Improvements in focused ion beam micromachining of interconnect materialsGonzalez, J.C. et al. | 2002
- 2705
-
Ion Beam Lithography - Scattering mask concept for ion-beam nanolithographyRuchhoeft, P. et al. | 2002
- 2709
-
Ion Beam Lithography - Correcting for global space charge by positive ion generationCrane, Trevor et al. | 2002
- 2713
-
Ion Beam Lithography - Ion projection sensitized selective Cu electroplating on uncoated p-SiSpiegel, A. et al. | 2002
- 2717
-
Electron and Ion Source Technology - Improvement in brightness of multicusp-plasma ion sourceJi, Q. et al. | 2002
- 2721
-
Electron and Ion Source Technology - Role of oxygen in semiconductor negative electron affinity photocathodesMachuca, Francisco et al. | 2002
- 2726
-
Electron and Ion Source Technology - Development of electromagnetic lenses for multielectron beam lithography systemHaraguchi, T. et al. | 2002
- 2730
-
Nano- and Microfabrication - Nanopipe fabrication using vertically aligned carbon nanofiber templatesMelechko, A.V. et al. | 2002
- 2734
-
Nano- and Microfabrication - Electrode modification by electron-induced patterning of self-assembled monolayersKaltenpoth, G. et al. | 2002
- 2739
-
Nano- and Microfabrication - Exploiting intermolecular interactions and self-assembly for ultrahigh resolution nanolithographyAnderson, M.E. et al. | 2002
- 2745
-
Nano- and Microfabrication - Localized and directed lateral growth of carbon nanotubes from a porous templateWind, S.J. et al. | 2002
- 2749
-
Nano- and Microfabrication - Tunable distributed-feedback laser gratings for telecom applications, manufactured by electron-beam lithographyRishton, S.A. et al. | 2002
- 2753
-
Nano- and Microfabrication - Optical waveguides with apodized sidewall gratings via spatial-phase-locked electron-beam lithographyHastings, J.T. et al. | 2002
- 2758
-
Nano- and Microfabrication - Surface diffusion and size evolution of nanostructures in laser-focused atomic depositionZhong, Jianxin et al. | 2002
- 2763
-
Nano- and Microfabrication - Method for manufacturing nanoscale structures in transition metal layersDelft, Falco C.M.J.M.van et al. | 2002
- 2768
-
Nano- and Microfabrication - Nanostructure fabrication by direct electron-beam writing of nanoparticlesGriffith, Saul et al. | 2002
- 2773
-
Nano- and Microfabrication - Characteristics of multiwalled carbon nanotube nanobridges fabricated by poly(methylmethacrylate) suspended dispersionLee, S.-B. et al. | 2002
- 2777
-
Nano- and Microfabrication - Nanoscale electron beam lithography and etching for fully depleted silicon-on-insulator devicesDreeskornfeld, L. et al. | 2002
- 2780
-
Nano- and Microfabrication - Multilevel silicon diffractive optics for terahertz wavesWalsby, E.D. et al. | 2002
- 2784
-
Nano- and Microfabrication - Density estimation for amorphous carbon nanopillars grown by focused ion beam assisted chemical vapor depositionIshida, Masahiko et al. | 2002
- 2788
-
Nano- and Microfabrication - Process integration of self-assembled polymer templates into silicon nanofabricationGuarini, K.W. et al. | 2002
- 2793
-
Nano- and Microfabrication - Design and prototyping of a micropropulsion system for microsatellites attitude control and orbit correctionVaccari, L. et al. | 2002
- 2798
-
Nanodevices - Fabrication and electrical characterization of top gate single-wall carbon nanotube field-effect transistorsWind, S.J. et al. | 2002
- 2802
-
Nanodevices - Hybrid assembly technique using alternating current field for molecular electronic measurementsAmlani, Islamshah et al. | 2002
- 2806
-
Nanodevices - Single-electron parametron memory cellEmiroglu, E.G. et al. | 2002
- 2810
-
Nanodevices - Quantum dot with independently tunable tunneling barriers fabricated using an atomic force microscopeNemutudi, R. et al. | 2002
- 2814
-
Nanodevices - Fabrication of spin-current-induced domain-wall-nucleation device in planar configurationKimura, Takashi et al. | 2002
- 2819
-
Nanodevices - Single ion implantation for solid state quantum computer developmentSchenkel, T. et al. | 2002
- 2824
-
Nanodevices - Single-electron transistor structures based on silicon-on-insulator silicon nanowire fabrication by scanning probe lithography and wet etchingSheu, J.T. et al. | 2002
- 2829
-
EUV Lithography - Sub-70 nm extreme ultraviolet lithography at the Advanced Light Source static microfield exposure station using the engineering test stand set-2 opticNaulleau, Patrick et al. | 2002
- 2834
-
EUV Lithography - Testing extreme ultraviolet optics with visible-light and extreme ultraviolet interferometryGoldberg, Kenneth A. et al. | 2002
- 2840
-
EUV Lithography - Particle-induced distortion in extreme ultraviolet lithography reticles during exposure chuckingTejeda, R. et al. | 2002
- 2844
-
EUV Lithography - Multiple-beam interference lithography with electron beam written gratingsSolak, H.H. et al. | 2002
- 2849
-
EUV Lithography - Lithographic aerial-image contrast measurement in the extreme ultraviolet engineering test standLee, Sang H. et al. | 2002
- 2853
-
Nanoimprint Lithography - High-resolution transfer printing on GaAs surfaces using alkane dithiol monolayersLoo, Yueh-Lin et al. | 2002
- 2857
-
Nanoimprint Lithography - Characterization of and imprint results using indium tin oxide-based step and flash imprint lithography templatesDauksher, W.J. et al. | 2002
- 2862
-
Nanoimprint Lithography - Polymer microring resonators fabricated by nanoimprint techniqueChao, Chung-yen et al. | 2002
- 2867
-
Nanoimprint Lithography - Imprint lithography for curved cross-sectional structure using replicated Ni moldHirai, Yoshihiko et al. | 2002
- 2872
-
Nanoimprint Lithography - Reversal imprinting by transferring polymer from mold to substrateHuang, X.D. et al. | 2002
- 2877
-
Nanoimprint Lithography - High-resolution organic polymer light-emitting pixels fabricated by imprinting techniqueCheng, Xing et al. | 2002
- 2881
-
Nanoimprint Lithography - Nanoimprinting over topography and multilayer three-dimensional printingBao, L.-R. et al. | 2002
- 2887
-
Nanoimprint Lithography - Fabrication of high electron mobility transistors with T-gates by nanoimprint lithographyChen, Y. et al. | 2002
- 2891
-
Nanoimprint Lithography - Prediction of fabrication distortions in step and flash imprint lithography templatesMartin, C.J. et al. | 2002
- 2896
-
Nanoimprint Lithography - Hydrogen silsesquioxane for direct electron-beam patterning of step and flash imprint lithography templatesMancini, D.P. et al. | 2002
- 2902
-
Resists - Evaluation of siloxane and polyhedral silsesquioxane copolymers for 157 nm lithographyBellas, V. et al. | 2002
- 2909
-
Resists - Fluoropolymer-based resists for a single-resist process of 157 nm lithographyToriumi, M. et al. | 2002
- 2913
-
Resists - Electrostatic effects during dissolution of positive tone photoresistsSchmid, Gerard M. et al. | 2002
- 2920
-
Resists - Probing surface and bulk chemistry in resist films using near edge x-ray absorption fine structureLenhart, Joseph L. et al. | 2002
- 2927
-
Resists - Depth dependence of resist line-edge roughness: Relation to photoacid diffusion lengthShin, J. et al. | 2002
- 2932
-
Resists - Delay-time and aging effects on contrast and sensitivity of hydrogen silsesquioxaneDelft, Falco C.M.J.M.van et al. | 2002
- 2937
-
Resists - Low stress development of poly(methylmethacrylate) for high aspect ratio structuresRooks, M.J. et al. | 2002
- 2942
-
Resists - Photopatternable sol-gel for compound semiconductor processingFallahi, Mahmoud et al. | 2002
- 2946
-
Resists - Acid catalyst mobility in resist resinsStewart, Michael D. et al. | 2002
- 2953
-
Resists - Suppression of secondary electron blur by using Br-containing resists in x-ray lithographyKise, K. et al. | 2002
- 2958
-
Resists - Evaluation of calixarene -- Derivatives as high-resolution negative tone electron-beam resistsSailer, H. et al. | 2002
- 2962
-
Resists - Photospeed considerations for extreme ultraviolet lithography resistsDentinger, Paul M. et al. | 2002
- 2968
-
Resists - Partially hydrogenated poly(vinyl phenol) based photoresist for near UV, high aspect ratio micromachiningChatzichristidi, M. et al. | 2002
- 2973
-
Resists - Thermal-flow techniques for sub-35 nm contact-hole fabrication in electron-beam lithographyChen, H.L. et al. | 2002
- 2979
-
X-ray Lithography - Extendibility of proximity x-ray lithography to 25 nm and belowToyota, Eijiro et al. | 2002
- 2984
-
X-ray Lithography - Collimated point-source x-ray nanolithographyForber, R.A. et al. | 2002
- 2991
-
X-ray Lithography - Achieving nanometer-scale, controllable pattern shifts in x-ray lithography using an assembly-tilting techniqueQi, Minghao et al. | 2002
- 2995
-
Masks - Dynamic studies of hard pellicle response during exposure scanningCotte, Eric P. et al. | 2002
- 3000
-
Masks - Characterization of extreme ultraviolet lithography mask defects by actinic inspection with broadband extreme ultraviolet illuminationPark, Mincheol et al. | 2002
- 3006
-
Masks - Ultraviolet and direct ultraviolet inspection of next generation lithography reticlesPettibone, Don et al. | 2002
- 3010
-
Masks - Fabrication of complete 8 in. stencil mask for electron projection lithographyAmemiya, Isao et al. | 2002
- 3015
-
Masks - Complementary mask pattern split for 8 in. stencil masks in electron projection lithographyYamashita, Hiroshi et al. | 2002
- 3021
-
Masks - Sub-50 nm stencil mask for low-energy electron-beam projection lithographyYoshizawa, Masaki et al. | 2002
- 3025
-
Masks - Stencil reticle inspection using a deep ultraviolet microscopeOkada, Masashi et al. | 2002
- 3029
-
Masks - Proximity and heating effects during electron-beam patterning of ultraviolet lithography masksLu, B. et al. | 2002
- 3035
-
Masks - Efficient phase defect modeling using domain decomposition methodsAdam, Konstantinos et al. | 2002
- 3040
-
Masks - Fabrication of x-ray masks using evaporated electron sensitive layers for back patterning of membranesAwad, Yousef et al. | 2002
- 3044
-
Masks - Submicron thermocouple measurements of electron-beam resist heatingChu, Dachen et al. | 2002
- 3047
-
Masks - Thermal modeling of extreme ultraviolet and step and flash imprint lithography substrates during dry etchWeisbrod, E.J. et al. | 2002
- 3053
-
Masks - Electron projection lithography mask format layer stress measurement and simulation of pattern transfer distortionReu, R.L. et al. | 2002
- 3058
-
Masks - Advanced die-to-database inspection technique for embedded attenuated phase shift maskYamashita, Kyoji et al. | 2002
- 3063
-
Metrology - Two-dimensional dopant profiling of ultrashallow junctions by electron holographyThesen, Alexander E. et al. | 2002
- 3067
-
Metrology - Liquid immersion Ions technology applied to laser voltage probing of 130 nm process technology devicesNataraj, Nagamani et al. | 2002
- 3071
-
Metrology - Beam alignment for scanning beam interference lithographyChen, Carl G. et al. | 2002
- 3075
-
Metrology - Precision fringe metrology using a Fresnel zone plateJoo, Chulmin et al. | 2002
- 3080
-
Metrology - Accurate reflectometry for extreme-ultraviolet lithography at the National Institute of Standards and TechnologyGrantham, S. et al. | 2002
- 3085
-
Metrology - Technique for preparation of precise wafer cross sections and applications to electron beam lithography of poly(methylmethacrylate) resistHu, Wenchuang et al. | 2002
- 3089
-
Metrology - Electromigration in passivated Cu interconnects studied by transmission x-ray microscopySchneider, G. et al. | 2002
- 3095
-
Metrology - High precision stress measurement of ion projection lithography mask membranesTorres, José L. et al. | 2002
- 3099
-
Metrology - Adaptive alignment of photomasks for overlay improvementChen, C.-f. et al. | 2002
- 3106
-
Deposition and Etching - Advanced time-multiplexed plasma etching of high aspect ratio silicon structuresBlauw, M.A. et al. | 2002
- 3111
-
Deposition and Etching - Profile simulation of gas chopping based etching processesVolland, B.E. et al. | 2002
- 3118
-
AUTHOR INDEX| 2002
- 3121
-
INDEX - Summary of the Physics and Astronomy Classification Scheme -- 2001| 2002
- 3122
-
INDEX - PACS Headings Used in the Present Index| 2002
- 3127
-
INDEX - Subject Index to Volume 20| 2002
- 3178
-
INDEX - Author Index to Volume 20| 2002
- 3205
-
INDEX - Materials Index to Volume 20| 2002
-
Editorial: E-First Publication and JVST Letters| 2002