Improvement of total quality on EUV mask blanks toward volume production [7636-29] (English)
- New search for: Shoki, T.
- New search for: Mitsui, M.
- New search for: Sakamoto, M.
- New search for: Sakaya, N.
- New search for: Ootsuka, M.
- New search for: Asakawa, T.
- New search for: Yamada, T.
- New search for: Mitsui, H.
- New search for: SEMATECH (Organization); SPIE (Society)
- New search for: Shoki, T.
- New search for: Mitsui, M.
- New search for: Sakamoto, M.
- New search for: Sakaya, N.
- New search for: Ootsuka, M.
- New search for: Asakawa, T.
- New search for: Yamada, T.
- New search for: Mitsui, H.
- New search for: La Fontaine, B.M.
- New search for: SEMATECH (Organization); SPIE (Society)
In:
Extreme ultraviolet (EUV) lithography
;
7636 0U
;
2010
-
ISBN:
-
ISSN:
- Conference paper / Print
-
Title:Improvement of total quality on EUV mask blanks toward volume production [7636-29]
-
Contributors:Shoki, T. ( author ) / Mitsui, M. ( author ) / Sakamoto, M. ( author ) / Sakaya, N. ( author ) / Ootsuka, M. ( author ) / Asakawa, T. ( author ) / Yamada, T. ( author ) / Mitsui, H. ( author ) / La Fontaine, B.M. / SEMATECH (Organization); SPIE (Society)
-
Conference:Conference, Extreme ultraviolet (EUV) lithography ; 2010 ; San Jose, CA
-
Published in:Extreme ultraviolet (EUV) lithography ; 7636 0UPROCEEDINGS- SPIE THE INTERNATIONAL SOCIETY FOR OPTICAL ENGINEERING ; 7636 ; 7636 0U
-
Publisher:
- New search for: SPIE
-
Place of publication:Bellingham, Wash
-
Publication date:2010-01-01
-
Size:7636 0U
-
Remarks:Includes bibliographical references and author index
-
ISBN:
-
ISSN:
-
Type of media:Conference paper
-
Type of material:Print
-
Language:English
-
Keywords:
-
Source:
© Metadata Copyright the British Library Board and other contributors. All rights reserved.
Table of contents conference proceedings
The tables of contents are generated automatically and are based on the data records of the individual contributions available in the index of the TIB portal. The display of the Tables of Contents may therefore be incomplete.
- 76360A
-
Analysis, simulation, and experimental studies of YAG and CO2laser-produced plasma for EUV lithography sourcesHassanein, A. / Sizyuk, V. / Harilal, S. S. / Sizyuk, T. et al. | 2010
- 76360B
-
Sn debris cleaning by plasma in DPP EUV source systems for HVMShin, H. / Surla, V. / Neumann, M. J. / Ruzic, D. N. et al. | 2010
- 76360C
-
Development and performance of grazing and normal incidence collectors for the HVM DPP and LPP sourcesBianucci, G. / Bragheri, A. / Cassol, G. L. / Johnson, B. / Rossi, M. / Zocchi, F. E. et al. | 2010
- 76360D
-
Experimental and numerical investigations on the density profile of CO2laser-produced Sn plasma for an EUVL sourceTao, Y. / Ueno, Y. / Yuspeh, S. / Burdt, R. A. / Amin, N. / Shaikh, N. M. / Tillack, M. S. / Najmabadi, F. et al. | 2010
- 76360E
-
Complex species and pressure dependence of intensity scaling laws for contamination rates of EUV optics determined by XPS and ellipsometryHill, S. B. / Faradzhev, N. S. / Richter, L. J. / Lucatorto, T. B. et al. | 2010
- 76360F
-
Carbon film growth on model MLM cap layer: interaction of selected hydrocarbon vapor with Ru(10-10) surfaceYakshinskiy, B. V. / Bartynski, R. A. et al. | 2010
- 76360G
-
Carbon contamination topography analysis of EUV masksFan, Yu-Jen / Yankulin, Leonid / Thomas, Petros / Mbanaso, Chimaobi / Antohe, Alin / Garg, Rashi / Wang, Yunfei / Murray, Thomas / Wüest, Andrea / Goodwin, Frank et al. | 2010
- 76360H
-
Monitoring reticle molecular contamination in ASML EUV Alpha Demo ToolOkoroanyanwu, Uzodinma / Jiang, Aiqin / Dittmar, Kornelia / Fahr, Torsten / Laursen, Thomas / Wood, Obert / Cummings, Kevin / Holfeld, Christian / Peters, Jan-Hendrik / Gullikson, Eric et al. | 2010
- 76360J
-
Assessing EUV mask defectivityOkoroanyanwu, Uzodinma / Tchikoulaeva, Anna / Ackmann, Paul / Wood, Obert / La Fontaine, Bruno / Bubke, Karsten / Holfeld, Christian / Peters, Jan Hendrik / Kini, Sumanth / Watson, Sterling et al. | 2010
- 76360K
-
A study of defects on EUV masks using blank inspection, patterned mask inspection, and wafer inspectionHuh, Sungmin / Ren, Liping / Chan, David / Wurm, Stefan / Goldberg, Kenneth / Mochi, Iacopo / Nakajima, Toshio / Kishimoto, Masahiro / Ahn, Byungsup / Kang, Inyong et al. | 2010
- 76360L
-
Impact of EUV mask absorber defect with pattern-roughness on lithographic imagesKamo, Takashi / Aoyama, Hajime / Arisawa, Yukiyasu / Kijima, Mihoko / Tanaka, Toshihiko / Suga, Osamu et al. | 2010
- 76360M
-
Printability of extreme ultraviolet lithography mask pattern defects for 22-40 nm half-pitch featuresKloster, Grant M. / Liang, Ted / Younkin, Todd R. / Putna, Ernisse S. / Caudillo, Roman / Son, Il-Seok et al. | 2010
- 76360N
-
Particle removal challenges of EUV patterned masks for the sub-22nm HP nodeRastegar, Abbas / Eichenlaub, Sean / Kadaksham, Arun John / Lee, Byunghoon / House, Matt / Huh, Sungmin / Cha, Brian / Yun, Henry / Mochi, Iacopo / Goldberg, Kenneth et al. | 2010
- 76360O
-
Removal of carbon and nanoparticles from lithographic materials by plasma assisted cleaning by metastable atom neutralization (PACMAN)Lytle, W. M. / Lofgren, R. E. / Surla, V. / Neumann, M. J. / Ruzic, D. N. et al. | 2010
- 76360P
-
EUV lithography for 22nm half pitch and beyond: exploring resolution, LWR, and sensitivity tradeoffsPutna, E. Steve / Younkin, Todd R. / Caudillo, Roman / Chandhok, Manish et al. | 2010
- 76360Q
-
Patterning with EUVL: the road to 22nm nodeKim, Hyun-Woo / Na, Hai-Sub / Cho, Kyoung-Yong / Park, Chang-Min / Yasue, Takahiro / Mayya, Subramanya / Cho, Han-Ku et al. | 2010
- 76360R
-
Resist pattern prediction at EUVBiafore, John J. / Smith, Mark D. / van Setten, Eelco / Wallow, Tom / Naulleau, Patrick / Blankenship, David / Robertson, Stewart A. / Deng, Yunfei et al. | 2010
- 76360S
-
Development of resist material and process for hp-2x-nm devices using EUV lithographyMatsunaga, Kentaro / Oizumi, Hiroaki / Kaneyama, Koji / Shiraishi, Gousuke / Matsumaro, Kazuyuki / Santillan, Julius Joseph / Itani, Toshiro et al. | 2010
- 76360T
-
Development of EUV resist for 22nm half pitch and beyondMaruyama, Ken / Shimizu, Makoto / Hirai, Yuuki / Nishino, Kouta / Kimura, Tooru / Kai, Toshiyuki / Goto, Kentaro / Sharma, Shalini et al. | 2010
- 76360U
-
Improvement of total quality on EUV mask blanks toward volume productionShoki, Tsutomu / Mitsui, Masaru / Sakamoto, Minoru / Sakaya, Noriyuki / Ootsuka, Masato / Asakawa, Tasuto / Yamada, Takeyuki / Mitsui, Hideaki et al. | 2010
- 76360V
-
Mask inspection technologies for 22nm HP and beyondWack, Daniel / Zhang, Qiang Q. / Inderhees, Gregg / Lopez, Dan et al. | 2010
- 76360W
-
A study of reticle non-flatness induced image placement error contributions in EUV lithographyRaghunathan, Sudhar / Wood, Obert / Vukkadala, Pradeep / Engelstad, Roxann / Lee, Brian / Bouten, Sander / Laursen, Thomas / Zimmerman, John / Sohn, Jaewoong / Hartley, John et al. | 2010
- 76360X
-
Absorber stack optimization in EUVL masks: lithographic performances in alpha demo tool and other issuesSeo, Hwan-Seok / Lee, Dong-Gun / Ahn, Byung-Sup / Koh, Cha-Won / Kang, In-Yong / Kim, Tae Geun / Kim, Hoon / Kim, Dongwan / Kim, Seong-Sue / Cho, Han-Ku et al. | 2010
- 76360Y
-
Techniques for removal of contamination from EUVL mask without surface damageSingh, Sherjang / Chen, Ssuwei / Wähler, Tobias / Jonckheere, Rik / Liang, Ted / Chen, Robert J. / Dietze, Uwe et al. | 2010
- 76360Z
-
Inspecting EUV mask blanks with a 193nm systemStokowski, Stan / Glasser, Joshua / Inderhees, Gregg / Sankuratri, Phani et al. | 2010
- 76361A
-
Actinic imaging of native and programmed defects on a full-field maskMochi, I. / Goldberg, K. A. / La Fontaine, B. / Tchikoulaeva, A. / Holfeld, C. et al. | 2010
- 76361B
-
Printability and inspectability of programmed pit defects on the masks in EUV lithographyKang, In-Yong / Seo, Hwan-Seok / Ahn, Byung-Sup / Lee, Dong-Gun / Kim, Dongwan / Huh, Sungmin / Koh, Cha-Won / Cha, Brian / Kim, Seoung-Sue / Cho, Han-Ku et al. | 2010
- 76361C
-
Actinic review of EUV masksFeldmann, Heiko / Ruoff, Johannes / Harnisch, Wolfgang / Kaiser, Winfried et al. | 2010
- 76361D
-
EUV mask defect inspection and defect review strategies for EUV pilot line and high volume manufacturingChan, Y. David / Rastegar, Abbas / Yun, Henry / Putna, E. Steve / Wurm, Stefan et al. | 2010
- 76361E
-
The analysis of EUV mask defects using a wafer defect inspection systemCho, Kyoung-Yong / Park, Joo-On / Park, Changmin / Lee, Young-Mi / Kang, In-Yong / Yeo, Jeong-Ho / Choi, Seong-Woon / Park, Chan-Hoon / Lange, Steven R. / Cho, SungChan et al. | 2010
- 76361F
-
Evaluation results of a new EUV reticle pod based on SEMI E152Ota, Kazuya / Yonekawa, Masami / Taguchi, Takao / Suga, Osamu et al. | 2010
- 76361G
-
Nikon EUVL development progress updateMiura, Takaharu / Murakami, Katsuhiko / Kawai, Hidemi / Kohama, Yoshiaki / Morita, Kenji / Hada, Kazunari / Ohkubo, Yukiharu et al. | 2010
- 76361H
-
EUV into production with ASML's NXE platformWagner, Christian / Harned, Noreen / Kuerz, Peter / Lowisch, Martin / Meiling, Hans / Ockwell, David / Peeters, Rudy / van Ingen-Schenau, Koen / van Setten, Eelco / Stoeldraijer, Judon et al. | 2010
- 76361I
-
LPP source system development for HVMBrandt, David C. / Fomenkov, Igor V. / Ershov, Alex I. / Partlo, William N. / Myers, David W. / Sandstrom, Richard L. / Böwering, Norbert R. / Vaschenko, Georgiy O. / Khodykin, Oleh V. / Bykanov, Alexander N. et al. | 2010
- 76361J
-
The SEMATECH Berkeley MET pushing EUV development beyond 22nm half pitchNaulleau, Patrick / Anderson, Christopher N. / Baclea-an, Lorie-Mae / Chan, David / Denham, Paul / George, Simi / Goldberg, Kenneth A. / Hoef, Brian / Jones, Gideon / Koh, Chawon et al. | 2010
- 76361K
-
Iterative procedure for in-situ optical testing with an incoherent sourceMiyakawa, Ryan / Naulleau, Patrick / Zakhor, Avideh / Goldberg, Ken et al. | 2010
- 76361L
-
Performance of the ASML EUV Alpha Demo ToolHermans, Jan V. / Hendrickx, Eric / Laidler, David / Jehoul, Christiane / Van Den Heuvel, Dieter / Goethals, Anne-Marie et al. | 2010
- 76361M
-
EUV lithography at the 22nm technology nodeWood, Obert / Koay, Chiew-Seng / Petrillo, Karen / Mizuno, Hiroyuki / Raghunathan, Sudhar / Arnold, John / Horak, Dave / Burkhardt, Martin / McIntyre, Gregory / Deng, Yunfei et al. | 2010
- 76361N
-
Applicability of extreme ultraviolet lithography to fabrication of half pitch 35nm interconnectsAoyama, Hajime / Tanaka, Yuusuke / Tawarayama, Kazuo / Nakamura, Naofumi / Soda, Eiichi / Oda, Noriaki / Arisawa, Yukiyasu / Uno, Taiga / Kamo, Takashi / Matsunaga, Kentaro et al. | 2010
- 76361O
-
Process liability evaluation for beyond 22nm node using EUVLTawarayama, Kazuo / Aoyama, Hajime / Matsunaga, Kentaro / Arisawa, Yukiyasu / Uno, Taiga / Magoshi, Shunko / Kyoh, Suigen / Nakajima, Yumi / Inanami, Ryoichi / Tanaka, Satoshi et al. | 2010
- 76361P
-
Multi-technique study of carbon contamination and cleaning of Mo/Si mirrors exposed to pulsed EUV radiationSchürmann, Mark / Yulin, Sergiy / Nesterenko, Viatcheslav / Feigl, Torsten / Kaiser, Norbert / Tkachenko, Boris / Schürmann, Max C. et al. | 2010
- 76361Q
-
Removal of surface contamination from EUV mirrors using low-power downstream plasma cleaningMorgan, Christopher G. / Naulleau, Patrick P. / Rekawa, Senajith B. / Denham, Paul E. / Hoef, Brian H. / Jones, Michael S. / Vane, Ronald et al. | 2010
- 76361U
-
Contamination study on EUV exposure tools using SAGA light source (SAGA-LS)Murakami, K. / Yamaguchi, T. / Yamazaki, A. / Kandaka, N. / Shiraishi, M. / Matsunari, S. / Aoki, T. / Kawata, S. et al. | 2010
- 76361W
-
Characterization of EUV optics contamination due to photoresist related outgassingPollentier, I. / Goethals, A.-M. / Gronheid, R. / Steinhoff, J. / Van Dijk, J. et al. | 2010
- 76361X
-
Wavelength dependence of carbon contamination on mirrors with different capping layersThomas, Petros / Yankulin, Leonid / Khopkar, Yashdeep / Garg, Rashi / Mbanaso, Chimaobi / Antohe, Alin / Fan, Yu-Jen / Denbeaux, Gregory / Aouadi, Samir / Jindal, Vibhu et al. | 2010
- 76361Y
-
Analysis and characterization of contamination in EUV reticlesOkoroanyanwu, Uzodinma / Dittmar, Kornelia / Fahr, Torsten / Wallow, Tom / La Fontaine, Bruno / Wood, Obert / Holfeld, Christian / Bubke, Karsten / Peters, Jan-Hendrik et al. | 2010
- 76361Z
-
A simple null-field ellipsometric imaging system (NEIS) for in-situ monitoring of EUV-induced deposition on EUV opticsGarg, Rashi / Faradzhev, Nadir / Hill, Shannon / Richter, Lee / Shaw, P. S. / Vest, R. / Lucatorto, T. B. et al. | 2010
- 76362B
-
Practical flare compensation strategy for DRAM deviceLim, Chang-Moon / Park, Jun-Taek / Moon, James / Koo, Sunyoung / Hyun, Yoonsuk / Kim, Hyeong Soo / Yim, Donggyu / Park, Sung-Ki et al. | 2010
- 76362D
-
Fabrication of 35nm via-hole patterns for interconnect test chips with EUV lithographyTanaka, Yuusuke / Aoyama, Hajime / Tawarayama, Kazuo / Magoshi, Shunko / Kawamura, Daisuke / Matsunaga, Kentaro / Kamo, Takashi / Arisawa, Yukiyasu / Uno, Taiga / Tanaka, Hiroyuki et al. | 2010
- 76362F
-
Characterization of the clamp pressure of electrostatic chucksZiemann, M. / Voss, S. / Baldus, O. / Schmidt, V. et al. | 2010
- 76362G
-
Mask roughness induced LER: a rule of thumbMcClinton, Brittany M. / Naulleau, Patrick P. et al. | 2010
- 76362H
-
Mask roughness and its implications for LER at the 22- and 16nm nodesNaulleau, Patrick P. / George, Simi A. / McClinton, Brittany M. et al. | 2010
- 76362I
-
The effect of line roughness on the reconstruction of line profiles for EUV masks from EUV scatterometryKato, Akiko / Scholze, Frank et al. | 2010
- 76362J
-
Electrostatic chucking of EUVL masks: coefficients of frictionKalkowski, Gerhard / Semmler, Christian / Risse, Stefan / Peschel, Thomas / Damm, Christoph / Müller, Sandra / Bauer, René et al. | 2010
- 76362K
-
Influence of mask surface roughness on 22nm node extreme ultraviolet lithographyKim, Eun-Jin / You, Jee-Hye / Kim, Seoung-Sue / Cho, Han-Ku / Ahn, Jinho / An, Ilsin / Oh, Hye-Keun et al. | 2010
- 76362L
-
Photon flux requirements for EUV reticle imaging microscopy in the 22- and 16nm nodesWintz, Daniel T. / Goldberg, Kenneth A. / Mochi, Iacopo / Huh, Sungmin et al. | 2010
- 76362M
-
Development of an ultrasonic system for super-precise measurement of zero-CTE temperature of EUVL-grade TiO2-SiO2ultra-low-expansion glassesKushibiki, Jun-ichi / Arakawa, Mototaka / Ohashi, Yuji / Sannomiya, Toshio / Maruyama, Yuko et al. | 2010
- 76362O
-
Damage testing of EUV optics and sensors using focused radiation from a table-top LPP sourceMann, Klaus / Barkusky, Frank / Bayer, Armin / Flöter, Bernhard / Grossmann, Peter et al. | 2010
- 76362R
-
Characterization of the polarization properties of PTB's EUV reflectometry systemLaubis, Christian / Kampe, Annett / Buchholz, Christian / Fischer, Andreas / Puls, Jana / Stadelhoff, Christian / Scholze, Frank et al. | 2010
- 76362S
-
EUV-multilayers on grating-like topographiesvan den Boogaard, A. J. R. / Louis, E. / Goldberg, K. A. / Mochi, I. / Bijkerk, F. et al. | 2010
- 76362T
-
High reflectance multilayers for EUVL HVM-projection opticsLouis, E. / van Hattum, E. D. / Alonso van der Westen, S. / Sallé, P. / Grootkarzijn, K. T. / Zoethout, E. / Bijkerk, F. / von Blanckenhagen, G. / Müllender, S. et al. | 2010
- 76362U
-
Absorption and loss of film thickness in photoresists and underlayer materials upon irradiation at 13.5 nmHo, Grace H. / Kang, Fu-H. / Fu, Huang-W. / Shih, Yu-H. / Fung, Hok-S. / Ku, Wan-P. / Cheng, Yu-S. / Wu, Pei-J. et al. | 2010
- 76362V
-
Challenges of EUVL resist process toward practical applicationIto, Shinichi / Kikuchi, Yukiko / Kawamura, Daisuke / Shiobara, Eishi / Tanaka, Keiichi / Kosugi, Hitoshi / Kitano, Junichi / Toshima, Takayuki et al. | 2010
- 76362W
-
Evaluations of EUV resist outgassing by gas chromatography mass spectrometry (GC-MS)Oizumi, Hiroaki / Matsumaro, Kazuyuki / Santillan, Julius / Itani, Toshiro et al. | 2010
- 76362X
-
Measuring resist-induced contrast loss using EUV interference lithographyLangner, Andreas / Solak, Harun H. / Gronheid, Roel / van Setten, Eelco / Auzelyte, Vaida / Ekinci, Yasin / van Ingen Schenau, Koen / Feenstra, Kees et al. | 2010
- 76362Y
-
Measurement of EUV resists performances RLS by DUV light sourceKim, Jeongsik / Lee, Jae-Woo / Kim, Deogbae / Kim, Jaehyun et al. | 2010
- 76362Z
-
Study of post-develop defect on typical EUV resistHarumoto, Masahiko / Shigemori, Kazuhito / Hisai, Akihiro / Asai, Masaya / Kaneyama, Koji et al. | 2010
- 76363A
-
High brightness EUV light source modelingZakharov, Sergey V. / Choi, Peter / Zakharov, Vasily S. et al. | 2010
- 76363B
-
High brightness EUV light sources for actinic metrologyChoi, Peter / Zakharov, Sergey V. / Aliaga-Rossel, Raul / Bakouboula, Aldrice / Bastide, Jeremy / Benali, Otman / Bove, Philippe / Cau, Michèle / Duffy, Grainne / Kezzar, Wafa et al. | 2010
- 76363C
-
Present status of laser-produced plasma EUV light sourceNagano, Hitoshi / Abe, Tamotsu / Nagai, Shinji / Nakano, Masaki / Akanuma, Yoshihiko / Nakajima, Shin / Kakizaki, Kouji / Sumitani, Akira / Fujimoto, Junichi / Mizoguchi, Hakaru et al. | 2010
- 76363D
-
Modeling of atomic and plasmas processes in the LPP and LA-DPP EUV sourceSasaki, Akira / Nishihara, Katsunobu / Sunahara, Atsushi / Furukawa, Hiroyuki / Nishikawa, Takeshi / Koike, Fumihiro et al. | 2010
- 76363F
-
Tin ion and neutral dynamics within an LPP EUV sourceRollinger, Bob / Morris, Oran / Chokani, Ndaona / Abhari, Reza S. et al. | 2010
- 76363I
-
Aerial image improvements on the Intel METCaudillo, Roman / Younkin, Todd / Putna, Steve / Myers, Alan / Shroff, Yashesh / Bacuita, Terence / Kloster, Grant / Sohmen, Erik et al. | 2010
- 763601
-
Front Matter: Volume 7636| 2010
- 763602
-
Actinic phase defect detection and printability analysis for patterned EUVL maskTerasawa, Tsuneo / Yamane, Takeshi / Tanaka, Toshihiko / Suga, Osamu / Kamo, Takashi / Mori, Ichiro et al. | 2010
- 763603
-
Optics for EUV productionLowisch, Martin / Kuerz, Peter / Mann, Hans-Juergen / Natt, Oliver / Thuering, Bernd et al. | 2010
- 763604
-
Characterization of promising resist platforms for sub-30-nm HP manufacturability and EUV CAR extendibility studyKoh, Chawon / Georger, Jacque / Ren, Liping / Huang, George / Goodwin, Frank / Wurm, Stefan / Ashworth, Dominic / Montgomery, Warren / Pierson, Bill / Park, Joo-on et al. | 2010
- 763605
-
Characterization of line-edge roughness (LER) propagation from resists: underlayer interfaces in ultrathin resist filmsGeorge, Simi A. / Naulleau, Patrick P. / Krishnamoorthy, Ahila / Wu, Zeyu / Rutter, Edward W. / Kennedy, Joseph T. / Xie, Song Yuan / Flanigan, Kyle Y. / Wallow, Thomas I. et al. | 2010
- 763608
-
First generation laser-produced plasma source system for HVM EUV lithographyMizoguchi, Hakaru / Abe, Tamotsu / Watanabe, Yukio / Ishihara, Takanobu / Ohta, Takeshi / Hori, Tsukasa / Kurosu, Akihiko / Komori, Hiroshi / Kakizaki, Kouji / Sumitani, Akira et al. | 2010
- 763609
-
EUV source development for AIMS and blank inspectionBlackborow, Paul A. / Partlow, Matthew J. / Horne, Stephen F. / Besen, Matthew M. / Smith, Donald K. / Gustafson, Deborah et al. | 2010
- 763610
-
Tin DPP source collector module (SoCoMo): status of Beta products and HVM developmentsYoshioka, Masaki / Teramoto, Yusuke / Zink, Peter / Schriever, Guido / Niimi, Gota / Corthout, Marc et al. | 2010
- 763611
-
Debris measurement at the intermediate focus of a laser-assisted discharge-produced plasma light sourceSporre, J. / Surla, V. / Neumann, M. J. / Ruzic, D. N. / Ren, L. / Goodwin, F. et al. | 2010
- 763612
-
Angular distribution of debris from CO2and YAG laser-produced tin plasmasCampos, D. / Coons, R. W. / Fields, M. D. / Crank, M. / Harilal, S. S. / Hassanein, A. et al. | 2010
- 763613
-
Normal incidence collector for LPP sources with integrated debris mitigationGiovannini, Andrea Z. / Dieterich, Franz / Henderson, Ian / Chokani, Ndaona / Abhari, Reza S. et al. | 2010
- 763614
-
Feasibility of EUVL thin absorber mask for minimization of mask shadowing effectHyun, Yoonsuk / Park, Juntaek / Koo, Sunyoung / Kim, Yongdae / Ban, Keundo / Kim, Seokkyun / Lim, Changmoon / Yim, Donggyu / Kim, Hyeongsoo / Park, Sungki et al. | 2010
- 763615
-
Full chip correction of EUV designLorusso, G. F. / Hendrickx, E. / Fenger, G. L. / Niroomand, A. et al. | 2010
- 763616
-
Study of practical TAT reduction approaches for EUV flare correctionInanami, Ryoichi / Mashita, Hiromitsu / Takaki, Takamasa / Kotani, Toshiya / Kyoh, Suigen / Tanaka, Satoshi et al. | 2010
- 763617
-
Corner rounding in EUV photoresist: tuning through molecular weight, PAG size, and development timeAnderson, Christopher N. / Daggett, Joe / Naulleau, Patrick P. et al. | 2010
- 763618
-
EUV flare correction for the half-pitch 22nm nodeArisawa, Yukiyasu / Aoyama, Hajime / Uno, Taiga / Tanaka, Toshihiko et al. | 2010
- 763619
-
Physical resist models and their calibration: their readiness for accurate EUV lithography simulationKlostermann, U. K. / Mülders, T. / Schmöller, T. / Lorusso, G. F. / Hendrickx, E. et al. | 2010
- 763621
-
Improvement of EUV mask defect printability evaluationTakagi, Noriaki / Shigemura, Hiroyuki / Amano, Tsuyoshi / Kamo, Takashi / Suga, Osamu et al. | 2010
- 763622
-
Holographic method for detecting amplitude and phase-shift errors and features in EUV ML reticle blanksNijkerk, David / Koster, Norbert / van Brug, Eddy / Maas, Diederik et al. | 2010
- 763623
-
Compensation methods for buried defects in extreme ultraviolet lithography masksClifford, Chris H. / Chan, Tina T. / Neureuther, Andrew R. et al. | 2010
- 763624
-
Stochastic simulation of photon scattering for EUV mask defect inspectionPei, Ting-Hang / Tsai, Kuen-Yu / Li, Jia-Han et al. | 2010
- 763625
-
Particle protection capability of SEMI-compliant EUV-pod carriersHuang, George / He, Long / Lystad, John / Kielbaso, Tom / Montgomery, Cecilia / Goodwin, Frank et al. | 2010
- 763626
-
Assessing out-of-band flare effects at the wafer level for EUV lithographyGeorge, Simi A. / Naulleau, Patrick P. / Kemp, Charles D. / Denham, Paul E. / Rekawa, Senajith et al. | 2010
- 763627
-
EUV modeling accuracy and integration requirements for the 16nm nodeZavyalova, Lena / Su, Irene / Jang, Stephen / Cobb, Jonathan / Ward, Brian / Sorensen, Jacob / Song, Hua / Gao, Weimin / Lucas, Kevin / Lorusso, Gian F. et al. | 2010
- 763628
-
Identification, modeling, and observation of disturbing effects in EUV interferometer lithographySaib, M. / Besacier, M. / Constancias, C. / Michallon, P. et al. | 2010
- 763629
-
Flare modeling and calculation on EUV opticsShiraishi, M. / Oshino, T. / Murakami, K. / Chiba, H. et al. | 2010
- 763630
-
Further investigation of EUV process sensitivities for wafer track processingBradon, Neil / Nafus, K. / Shite, H. / Kitano, J. / Kosugi, H. / Goethals, M. / Cheng, S. / Hermans, J. / Hendrickx, E. / Baudemprez, B. et al. | 2010
- 763631
-
Cobalt-containing polymers as patterning assist layers in extreme ultraviolet lithographyMasson, Georgeta et al. | 2010
- 763632
-
Development of novel positive-tone resists for EUVLOwada, Takanori / Shiotani, Hideaki / Aoyama, Kayoko / Kashiwamura, Takashi / Shibata, Mitsuru / Takeya, Testuro / Oizumi, Hiroaki / Itani, Toshiro et al. | 2010
- 763633
-
Alternative resist processes for LWR reduction in EUVLKaneyama, Koji / Matsunaga, Kentaro / Shiraishi, Gousuke / Santillan, Julius Joseph / Itani, Toshiro et al. | 2010
- 763634
-
Scissionable polymer resists for extreme ultraviolet lithographyOgata, Yoichi / Masson, Georgeta / Hishiro, Yoshi / Blackwell, James M. et al. | 2010
- 763635
-
Polycarbonate based nonchemically amplified photoresists for extreme ultraviolet lithographyBlakey, Idriss / Yu, Anguang / Blinco, James / Jack, Kevin S. / Liu, Heping / Leeson, Michael / Yueh, Wang / Younkin, Todd / Whittaker, Andrew K. et al. | 2010
- 763636
-
Comparison of EUV spectral and ion emission features from laser-produced Sn and Li plasmasCoons, R. W. / Campos, D. / Crank, M. / Harilal, S. S. / Hassanein, A. et al. | 2010
- 763637
-
Time resolved studies of laser-produced plasmas of tinMcCormack, T. / Scally, E. / Kambalii, I. et al. | 2010
- 763639
-
Laser-produced plasma light source for EUVLFomenkov, Igor V. / Ershov, Alex I. / Partlo, William N. / Myers, David W. / Sandstrom, Richard L. / Böwering, Norbert R. / Vaschenko, Georgiy O. / Khodykin, Oleh V. / Bykanov, Alexander N. / Srivastava, Shailendra N. et al. | 2010
-
Complex species and pressure dependence of intensity scaling laws for contamination rates of EUV optics determined by XPS and ellipsometry [7636-13]Hill, S.B. / Faradzhev, N.S. / Richter, L.J. / Lucatorto, T.B. / SEMATECH (Organization); SPIE (Society) et al. | 2010
-
Full chip correction of EUV design [7636-40]Lorusso, G.F. / Hendrickx, E. / Fenger, G.L. / Niroomand, A. / SEMATECH (Organization); SPIE (Society) et al. | 2010
-
The analysis of EUV mask defects using a wafer defect inspection system [7636-49]Cho, K.-Y. / Park, J.-O. / Park, C. / Lee, Y.-M. / Kang, I.-Y. / Yeo, J.-H. / Choi, S.-W. / Park, C.-H. / Lange, S.R. / Cho, S. et al. | 2010
-
Applicability of extreme ultraviolet lithography to fabrication of half pitch 35nm interconnects [7636-59]Aoyama, H. / Tanaka, Y. / Tawarayama, K. / Nakamura, N. / Soda, E. / Oda, N. / Arisawa, Y. / Uno, T. / Kamo, T. / Matsunaga, K. et al. | 2010
-
Stochastic simulation of photon scattering for EUV mask defect inspection [7636-76]Pei, T.-H. / Tsai, K.-Y. / Li, J.-H. / SEMATECH (Organization); SPIE (Society) et al. | 2010
-
Fabrication of 35nm via-hole patterns for interconnect test chips with EUV lithography [7636-86]Tanaka, Y. / Aoyama, H. / Tawarayama, K. / Magoshi, S. / Kawamura, D. / Matsunaga, K. / Kamo, T. / Arisawa, Y. / Uno, T. / Tanaka, H. et al. | 2010
-
Development of an ultrasonic system for super-precise measurement of zero-CTE temperature of EUVL-grade TiO~2-SiO~2 ultra-low-expansion glasses [7636-96]Kushibiki, J. / Arakawa, M. / Ohashi, Y. / Sannomiya, T. / Maruyama, Y. / SEMATECH (Organization); SPIE (Society) et al. | 2010
-
EUV source development for AIMS and blank inspection [7636-08]Blackborow, P.A. / Partlow, M.J. / Horne, S.F. / Besen, M.M. / Smith, D.K. / Gustafson, D. / SEMATECH (Organization); SPIE (Society) et al. | 2010
-
Development of resist material and process for hp-2x-nm devices using EUV lithography [7636-27]Matsunaga, K. / Oizumi, H. / Kaneyama, K. / Shiraishi, G. / Matsumaro, K. / Santillan, J.J. / Itani, T. / SEMATECH (Organization); SPIE (Society) et al. | 2010
-
Angular distribution of debris from CO~2 and YAG laser-produced tin plasmas [7636-37]Campos, D. / Coons, R.W. / Fields, M.D. / Crank, M. / Harilal, S.S. / Hassanein, A. / SEMATECH (Organization); SPIE (Society) et al. | 2010
-
Evaluation results of a new EUV reticle pod based on SEMI E152 [7636-50]Ota, K. / Yonekawa, M. / Taguchi, T. / Suga, O. / SEMATECH (Organization); SPIE (Society) et al. | 2010
-
Removal of surface contamination from EUV mirrors using low-power downstream plasma cleaning [7636-63]Morgan, C.G. / Naulleau, P.P. / Rekawa, S.B. / Denham, P.E. / Hoef, B.H. / Jones, M.S. / Vane, R. / SEMATECH (Organization); SPIE (Society) et al. | 2010
-
Improvement of EUV mask defect printability evaluation [7636-73]Takagi, N. / Shigemura, H. / Amano, T. / Kamo, T. / Suga, O. / SEMATECH (Organization); SPIE (Society) et al. | 2010
-
The effect of line roughness on the reconstruction of line profiles for EUV masks from EUV scatterometry [7636-91]Kato, A. / Scholze, F. / SEMATECH (Organization); SPIE (Society) et al. | 2010
-
Challenges of EUVL resist process toward practical application [7636-107]Ito, S. / Kikuchi, Y. / Kawamura, D. / Shiobara, E. / Tanaka, K. / Kosugi, H. / Kitano, J. / Toshima, T. / SEMATECH (Organization); SPIE (Society) et al. | 2010
-
Scissionable polymer resists for extreme ultraviolet lithography [7636-116]Ogata, Y. / Masson, G. / Hishiro, Y. / Blackwell, J.M. / SEMATECH (Organization); SPIE (Society) et al. | 2010
-
Present status of laser-produced plasma EUV light source [7636-123]Nagano, H. / Abe, T. / Nagai, S. / Nakano, M. / Akanuma, Y. / Nakajima, S. / Kakizaki, K. / Sumitani, A. / Fujimoto, J. / Mizoguchi, H. et al. | 2010
-
Actinic phase defect detection and printability analysis for patterned EUVL mask (Invited Paper) [7636-01]Terasawa, T. / Yamane, T. / Tanaka, T. / Suga, O. / Kamo, T. / Mori, I. / SEMATECH (Organization); SPIE (Society) et al. | 2010
-
Experimental and numerical investigations on the density profile of CO~2 laser-produced Sn plasma for an EUVL source [7636-12]Tao, Y. / Ueno, Y. / Yuspeh, S. / Burdt, R.A. / Amin, N. / Shaikh, N.M. / Tillack, M.S. / Najmabadi, F. / SEMATECH (Organization); SPIE (Society) et al. | 2010
-
Monitoring reticle molecular contamination in ASML EUV Alpha Demo Tool [7636-16]Okoroanyanwu, U. / Jiang, A. / Dittmar, K. / Fahr, T. / Laursen, T. / Wood, O. / Cummings, K. / Holfeld, C. / Peters, J.-H. / Gullikson, E. et al. | 2010
-
Particle removal challenges of EUV patterned masks for the sub-22nm HP node [7636-22]Rastegar, A. / Eichenlaub, S. / Kadaksham, A.J. / Lee, B. / House, M. / Huh, S. / Cha, B. / Yun, H. / Mochi, I. / Goldberg, K. et al. | 2010
-
Tin DPP source collector module (SoCoMo): status of Beta products and HVM developments [7636-35]Yoshioka, M. / Teramoto, Y. / Zink, P. / Schriever, G. / Niimi, G. / Corthout, M. / SEMATECH (Organization); SPIE (Society) et al. | 2010
-
Analysis and characterization of contamination in EUV reticles [7636-71]Okoroanyanwu, U. / Dittmar, K. / Fahr, T. / Wallow, T. / Fontaine, B.L. / Wood, O. / Holfeld, C. / Bubke, K. / Peters, J.-H. / SEMATECH (Organization); SPIE (Society) et al. | 2010
-
EUV modeling accuracy and integration requirements for the 16nm node [7636-79]Zavyalova, L. / Su, I. / Jang, S. / Cobb, J. / Ward, B. / Sorensen, J. / Song, H. / Gao, W. / Lucas, K. / Lorusso, G.F. et al. | 2010
-
Flare modeling and calculation on EUV optics [7636-81]Shiraishi, M. / Oshino, T. / Murakami, K. / Chiba, H. / SEMATECH (Organization); SPIE (Society) et al. | 2010
-
Mask roughness induced LER: a rule of thumb [7636-89]McClinton, B.M. / Naulleau, P.P. / SEMATECH (Organization); SPIE (Society) et al. | 2010
-
Polycarbonate based nonchemically amplified photoresists for extreme ultraviolet lithography [7636-130]Blakey, I. / Yu, A. / Blinco, J. / Jack, K.S. / Liu, H. / Leeson, M. / Yueh, W. / Younkin, T. / Whittaker, A.K. / SEMATECH (Organization); SPIE (Society) et al. | 2010
-
Development and performance of grazing and normal incidence collectors for the HVM DPP and LPP sources [7636-11]Bianucci, G. / Bragheri, A. / Cassol, G.L. / Johnson, B. / Rossi, M. / Zocchi, F.E. / SEMATECH (Organization); SPIE (Society) et al. | 2010
-
Corner rounding in EUV photoresist: tuning through molecular weight, PAG size, and development time [7636-105]Anderson, C.N. / Daggett, J. / Naulleau, P.P. / SEMATECH (Organization); SPIE (Society) et al. | 2010
-
Nikon EUVL development progress update (Invited Paper) [7636-51]Miura, T. / Murakami, K. / Kawai, H. / Kohama, Y. / Morita, K. / Hada, K. / Ohkubo, Y. / SEMATECH (Organization); SPIE (Society) et al. | 2010
-
The SEMATECH Berkeley MET pushing EUV development beyond 22nm half pitch [7636-55]Naulleau, P. / Anderson, C.N. / Baclea-an, L.-M. / Chan, D. / Denham, P. / George, S. / Goldberg, K.A. / Hoef, B. / Jones, G. / Koh, C. et al. | 2010
-
Compensation methods for buried defects in extreme ultraviolet lithography masks [7636-75]Clifford, C.H. / Chan, T.T. / Neureuther, A.R. / SEMATECH (Organization); SPIE (Society) et al. | 2010
-
Identification, modeling, and observation of disturbing effects in EUV interferometer lithography [7636-80]Saib, M. / Besacier, M. / Constancias, C. / Michallon, P. / SEMATECH (Organization); SPIE (Society) et al. | 2010
-
Aerial image improvements on the Intel MET [7636-129]Caudillo, R. / Younkin, T. / Putna, S. / Myers, A. / Shroff, Y. / Bacuita, T. / Kloster, G. / Sohmen, E. / SEMATECH (Organization); SPIE (Society) et al. | 2010
-
Characterization of line-edge roughness (LER) propagation from resists: underlayer interfaces in ultrathin resist films [7636-04]George, S.A. / Naulleau, P.P. / Krishnamoorthy, A. / Wu, Z. / Rutter, E.W. / Kennedy, J.T. / Xie, S.Y. / Flanigan, K.Y. / Wallow, T.I. / SEMATECH (Organization); SPIE (Society) et al. | 2010
-
Assessing EUV mask defectivity [7636-18]Okoroanyanwu, U. / Tchikoulaeva, A. / Ackmann, P. / Wood, O. / La Fontaine, B. / Bubke, K. / Holfeld, C. / Peters, J.H. / Kini, S. / Watson, S. et al. | 2010
-
Carbon contamination topography analysis of EUV masks [7636-15]Fan, Y.-J. / Yankulin, L. / Thomas, P. / Mbanaso, C. / Antohe, A. / Garg, R. / Wang, Y. / Murray, T. / Wuest, A. / Goodwin, F. et al. | 2010
-
Resist pattern prediction at EUV [7636-26]Biafore, J.J. / Smith, M.D. / van Setten, E. / Wallow, T. / Naulleau, P. / Blankenship, D. / Robertson, S.A. / Deng, Y. / SEMATECH (Organization); SPIE (Society) et al. | 2010
-
Improvement of total quality on EUV mask blanks toward volume production [7636-29]Shoki, T. / Mitsui, M. / Sakamoto, M. / Sakaya, N. / Ootsuka, M. / Asakawa, T. / Yamada, T. / Mitsui, H. / SEMATECH (Organization); SPIE (Society) et al. | 2010
-
A study of reticle non-flatness induced image placement error contributions in EUV lithography [7636-94]Raghunathan, S. / Wood, O. / Vukkadala, P. / Engelstad, R. / Lee, B. / Bouten, S. / Laursen, T. / Zimmerman, J. / Sohn, J. / Hartley, J. et al. | 2010
-
Techniques for removal of contamination from EUVL mask without surface damage [7636-33]Singh, S. / Chen, S. / Wahler, T. / Jonckheere, R. / Liang, T. / Chen, R.J. / Dietze, U. / SEMATECH (Organization); SPIE (Society) et al. | 2010
-
Inspecting EUV mask blanks with a 193nm system [7636-34]Stokowski, S. / Glasser, J. / Inderhees, G. / Sankuratri, P. / SEMATECH (Organization); SPIE (Society) et al. | 2010
-
Study of practical TAT reduction approaches for EUV flare correction [7636-41]Inanami, R. / Mashita, H. / Takaki, T. / Kotani, T. / Kyoh, S. / Tanaka, S. / SEMATECH (Organization); SPIE (Society) et al. | 2010
-
Iterative procedure for in-situ optical testing with an incoherent source [7636-56]Miyakawa, R. / Naulleau, P. / Zakhor, A. / Goldberg, K. / SEMATECH (Organization); SPIE (Society) et al. | 2010
-
Contamination study on EUV exposure tools using SAGA light source (SAGA-LS) [7636-67]Murakami, K. / Yamaguchi, T. / Yamazaki, A. / Kandaka, N. / Shiraishi, M. / Matsunari, S. / Aoki, T. / Kawata, S. / SEMATECH (Organization); SPIE (Society) et al. | 2010
-
A simple null-field ellipsometric imaging system (NEIS) for in-situ monitoring of EUV-induced deposition on EUV optics [7636-131]Garg, R. / Faradzhev, N. / Hill, S. / Richter, L. / Shaw, P.S. / Vest, R. / Lucatorto, T.B. / SEMATECH (Organization); SPIE (Society) et al. | 2010
-
Practical flare compensation strategy for DRAM device [7636-83]Lim, C.-M. / Park, J.-T. / Moon, J. / Koo, S. / Hyun, Y. / Kim, H.S. / Yim, D. / Park, S.-K. / SEMATECH (Organization); SPIE (Society) et al. | 2010
-
Absorption and loss of film thickness in photoresists and underlayer materials upon irradiation at 13.5 nm [7636-106]Ho, G.H. / Kang, F.-H. / Fu, H.-W. / Shih, Y.-H. / Fung, H.-S. / Ku, W.-P. / Cheng, Y.-S. / Wu, P.-J. / SEMATECH (Organization); SPIE (Society) et al. | 2010
-
Laser-produced plasma light source for EUVL [7636-120]Fomenkov, I.V. / Ershov, A.I. / Partlo, W.N. / Myers, D.W. / Sandstrom, R.L. / Bowering, N.R. / Vaschenko, G.O. / Khodykin, O.V. / Bykanov, A.N. / Srivastava, S.N. et al. | 2010
-
Optics for EUV production (Invited Paper) [7636-02]Lowisch, M. / Kuerz, P. / Mann, H.-J. / Natt, O. / Thuering, B. / SEMATECH (Organization); SPIE (Society) et al. | 2010
-
Sn debris cleaning by plasma in DPP EUV source systems for HVM [7636-10]Shin, H. / Surla, V. / Neumann, M.J. / Ruzic, D.N. / SEMATECH (Organization); SPIE (Society) et al. | 2010
-
Carbon film growth on model MLM cap layer: interaction of selected hydrocarbon vapor with Ru(10-10) surface [7636-14]Yakshinskiy, B.V. / Bartynski, R.A. / SEMATECH (Organization); SPIE (Society) et al. | 2010
-
Printability of extreme ultraviolet lithography mask pattern defects for 22-40 nm half-pitch features [7636-21]Kloster, G.M. / Liang, T. / Younkin, T.R. / Putna, E.S. / Caudillo, R. / Son, I.-S. / SEMATECH (Organization); SPIE (Society) et al. | 2010
-
Mask inspection technologies for 22nm HP and beyond [7636-30]Wack, D. / Zhang, Q.Q. / Inderhees, G. / Lopez, D. / SEMATECH (Organization); SPIE (Society) et al. | 2010
-
Wavelength dependence of carbon contamination on mirrors with different capping layers [7636-70]Thomas, P. / Yankulin, L. / Khopkar, Y. / Garg, R. / Mbanaso, C. / Antohe, A. / Fan, Y.-J. / Denbeaux, G. / Aouadi, S. / Jindal, V. et al. | 2010
-
Measuring resist-induced contrast loss using EUV interference lithography [7636-109]Langner, A. / Solak, H.H. / Gronheid, R. / van Setten, E. / Auzelyte, V. / Ekinci, Y. / van Ingen Schenau, K. / Feenstra, K. / SEMATECH (Organization); SPIE (Society) et al. | 2010
-
Measurement of EUV resists performances RLS by DUV light source [7636-110]Kim, J. / Lee, J.-W. / Kim, D. / SEMATECH (Organization); SPIE (Society) et al. | 2010
-
Study of post-develop defect on typical EUV resist [7636-111]Harumoto, M. / Shigemori, K. / Hisai, A. / Asai, M. / Kaneyama, K. / SEMATECH (Organization); SPIE (Society) et al. | 2010
-
Further investigation of EUV process sensitivities for wafer track processing [7636-112]Bradon, N. / Nafus, K. / Shite, H. / Kitano, J. / Kosugi, H. / Goethals, M. / Cheng, S. / Hermans, J. / Hendrickx, E. / Baudemprez, B. et al. | 2010
-
First generation laser-produced plasma source system for HVM EUV lithography [7636-07]Mizoguchi, H. / Abe, T. / Watanabe, Y. / Ishihara, T. / Ohta, T. / Hori, T. / Kurosu, A. / Komori, H. / Kakizaki, K. / Sumitani, A. et al. | 2010
-
Analysis, simulation, and experimental studies of YAG and CO~2 laser-produced plasma for EUV lithography sources [7636-09]Hassanein, A. / Sizyuk, V. / Harilal, S.S. / Sizyuk, T. / SEMATECH (Organization); SPIE (Society) et al. | 2010
-
EUV lithography for 22nm half pitch and beyond: exploring resolution, LWR, and sensitivity tradeoffs [7636-24]Putna, E.S. / Younkin, T.R. / Caudillo, R. / Chandhok, M. / SEMATECH (Organization); SPIE (Society) et al. | 2010
-
Development of EUV resist for 22nm half pitch and beyond [7636-28]Maruyama, K. / Shimizu, M. / Hirai, Y. / Nishino, K. / Kimura, T. / Kai, T. / Goto, K. / Sharma, S. / SEMATECH (Organization); SPIE (Society) et al. | 2010
-
EUV flare correction for the half-pitch 22nm node [7636-43]Arisawa, Y. / Aoyama, H. / Uno, T. / Tanaka, T. / SEMATECH (Organization); SPIE (Society) et al. | 2010
-
Physical resist models and their calibration: their readiness for accurate EUV lithography simulation [7636-44]Klostermann, U.K. / Mulders, T. / Schmoller, T. / Lorusso, G.F. / Hendrickx, E. / SEMATECH (Organization); SPIE (Society) et al. | 2010
-
LPP source system development for HVM (Invited Paper) [7636-53]Brandt, D.C. / Fomenkov, I.V. / Ershov, A.I. / Partlo, W.N. / Myers, D.W. / Sandstrom, R.L. / Bowering, N.R. / Vaschenko, G.O. / Khodykin, O.V. / Bykanov, A.N. et al. | 2010
-
Performance of the ASML EUV Alpha Demo Tool [7636-57]Hermans, J.V. / Hendrickx, E. / Laidler, D. / Jehoul, C. / Van Den Heuvel, D. / Goethals, A.-M. / SEMATECH (Organization); SPIE (Society) et al. | 2010
-
EUV lithography at the 22nm technology node [7636-58]Wood, O. / Koay, C.-S. / Petrillo, K. / Mizuno, H. / Raghunathan, S. / Arnold, J. / Horak, D. / Burkhardt, M. / McIntyre, G. / Deng, Y. et al. | 2010
-
Characterization of EUV optics contamination due to photoresist related outgassing [7636-69]Pollentier, I. / Goethals, A.-M. / Gronheid, R. / Steinhoff, J. / Van Dijk, J. / SEMATECH (Organization); SPIE (Society) et al. | 2010
-
High reflectance multilayers for EUVL HVM-projection optics [7636-104]Louis, E. / van Hattum, E.D. / van der Westen, S.A. / Salle, P. / Grootkarzijn, K.T. / Zoethout, E. / Bijkerk, F. / von Blanckenhagen, G. / Mullender, S. / SEMATECH (Organization); SPIE (Society) et al. | 2010
-
Debris measurement at the intermediate focus of a laser-assisted discharge-produced plasma light source [7636-36]Sporre, J. / Surla, V. / Neumann, M.J. / Ruzic, D.N. / Ren, L. / Goodwin, F. / SEMATECH (Organization); SPIE (Society) et al. | 2010
-
EUV mask defect inspection and defect review strategies for EUV pilot line and high volume manufacturing [7636-48]Chan, Y.D. / Rastegar, A. / Yun, H. / Putna, E.S. / Wurm, S. / SEMATECH (Organization); SPIE (Society) et al. | 2010
-
Electrostatic chucking of EUVL masks: coefficients of friction [7636-92]Kalkowski, G. / Semmler, C. / Risse, S. / Peschel, T. / Damm, C. / Muller, S. / Bauer, R. / SEMATECH (Organization); SPIE (Society) et al. | 2010
-
Characterization of the polarization properties of PTB's EUV reflectometry system [7636-102]Laubis, C. / Kampe, A. / Buchholz, C. / Fischer, A. / Puls, J. / Stadelhoff, C. / Scholze, F. / SEMATECH (Organization); SPIE (Society) et al. | 2010
-
Time resolved studies of laser-produced plasmas of tin [7636-118]McCormack, T. / Scally, E. / Kambalii, I. / SEMATECH (Organization); SPIE (Society) et al. | 2010
-
A study of defects on EUV masks using blank inspection, patterned mask inspection, and wafer inspection [7636-19]Huh, S. / Ren, L. / Chan, D. / Wurm, S. / Goldberg, K. / Mochi, I. / Nakajima, T. / Kishimoto, M. / Ahn, B. / Kang, I. et al. | 2010
-
Removal of carbon and nanoparticles from lithographic materials by plasma assisted cleaning by metastable atom neutralization (PACMAN) [7636-23]Lytle, W.M. / Lofgren, R.E. / Surla, V. / Neumann, M.J. / Ruzic, D.N. / SEMATECH (Organization); SPIE (Society) et al. | 2010
-
Feasibility of EUVL thin absorber mask for minimization of mask shadowing effect [7636-39]Hyun, Y. / Park, J. / Koo, S. / Kim, Y. / Ban, K. / Kim, S. / Lim, C. / Yim, D. / Kim, H. / Park, S. et al. | 2010
-
Holographic method for detecting amplitude and phase-shift errors and features in EUV ML reticle blanks [7636-74]Nijkerk, D. / Koster, N. / van Brug, E. / Maas, D. / SEMATECH (Organization); SPIE (Society) et al. | 2010
-
Assessing out-of-band flare effects at the wafer level for EUV lithography [7636-78]George, S.A. / Naulleau, P.P. / Kemp, C.D. / Denham, P.E. / Rekawa, S. / SEMATECH (Organization); SPIE (Society) et al. | 2010
-
Characterization of the clamp pressure of electrostatic chucks [7636-87]Ziemann, M. / Voss, S. / Baldus, O. / Schmidt, V. / SEMATECH (Organization); SPIE (Society) et al. | 2010
-
Evaluations of EUV resist outgassing by gas chromatography mass spectrometry (GC-MS) [7636-108]Oizumi, H. / Matsumaro, K. / Santillan, J. / Itani, T. / SEMATECH (Organization); SPIE (Society) et al. | 2010
-
Modeling of atomic and plasmas processes in the LPP and LA-DPP EUV source [7636-124]Sasaki, A. / Nishihara, K. / Sunahara, A. / Furukawa, H. / Nishikawa, T. / Koike, F. / SEMATECH (Organization); SPIE (Society) et al. | 2010
-
Characterization of promising resist platforms for sub-30-nm HP manufacturability and EUV CAR extendibility study (Invited Paper) [7636-03]Koh, C. / Georger, J. / Ren, L. / Huang, G. / Goodwin, F. / Wurm, S. / Ashworth, D. / Montgomery, W. / Pierson, B. / Park, J. et al. | 2010
-
Impact of EUV mask absorber defect with pattern-roughness on lithographic images [7636-20]Kamo, T. / Aoyama, H. / Arisawa, Y. / Kijima, M. / Tanaka, T. / Suga, O. / SEMATECH (Organization); SPIE (Society) et al. | 2010
-
Actinic imaging of native and programmed defects on a full-field mask [7636-45]Mochi, I. / Goldberg, K.A. / La Fontaine, B. / Tchikoulaeva, A. / Holfeld, C. / SEMATECH (Organization); SPIE (Society) et al. | 2010
-
Particle protection capability of SEMI-compliant EUV-pod carriers [7636-77]Huang, G. / He, L. / Lystad, J. / Kielbaso, T. / Montgomery, C. / Goodwin, F. / SEMATECH (Organization); SPIE (Society) et al. | 2010
-
Cobalt-containing polymers as patterning assist layers in extreme ultraviolet lithography [7636-113]Masson, G. / SEMATECH (Organization); SPIE (Society) et al. | 2010
-
High brightness EUV light source modeling [7636-121]Zakharov, S.V. / Choi, P. / Zakharov, V.S. / SEMATECH (Organization); SPIE (Society) et al. | 2010
-
Absorber stack optimization in EUVL masks: lithographic performances in alpha demo tool and other issues [7636-32]Seo, H.-S. / Lee, D.-G. / Ahn, B.-S. / Koh, C.-W. / Kang, I.-Y. / Kim, T.G. / Kim, H. / Kim, D. / Kim, S.-S. / Cho, H.-K. et al. | 2010
-
Normal incidence collector for LPP sources with integrated debris mitigation [7636-38]Giovannini, A.Z. / Dieterich, F. / Henderson, I. / Chokani, N. / Abhari, R.S. / SEMATECH (Organization); SPIE (Society) et al. | 2010
-
Printability and inspectability of programmed pit defects on the masks in EUV lithography [7636-46]Kang, I.-Y. / Seo, H.-S. / Ahn, B.-S. / Lee, D.-G. / Kim, D. / Huh, S. / Koh, C.-W. / Cha, B. / Kim, S.-S. / Cho, H.-K. et al. | 2010
-
Multi-technique study of carbon contamination and cleaning of Mo/Si mirrors exposed to pulsed EUV radiation [7636-62]Schurmann, M. / Yulin, S. / Nesterenko, V. / Feigl, T. / Kaiser, N. / Tkachenko, B. / Schurmann, M.C. / SEMATECH (Organization); SPIE (Society) et al. | 2010
-
Mask roughness and its implications for LER at the 22- and 16nm nodes [7636-90]Naulleau, P.P. / George, S.A. / McClinton, B.M. / SEMATECH (Organization); SPIE (Society) et al. | 2010
-
Photon flux requirements for EUV reticle imaging microscopy in the 22- and 16nm nodes [7636-95]Wintz, D.T. / Goldberg, K.A. / Mochi, I. / Huh, S. / SEMATECH (Organization); SPIE (Society) et al. | 2010
-
Damage testing of EUV optics and sensors using focused radiation from a table-top LPP source [7636-98]Mann, K. / Barkusky, F. / Bayer, A. / Floter, B. / Grossmann, P. / SEMATECH (Organization); SPIE (Society) et al. | 2010
-
EUV-multilayers on grating-like topographies [7636-103]van den Boogaard, A.J.R. / Louis, E. / Goldberg, K.A. / Mochi, I. / Bijkerk, F. / SEMATECH (Organization); SPIE (Society) et al. | 2010
-
Development of novel positive-tone resists for EUVL [7636-114]Owada, T. / Shiotani, H. / Aoyama, K. / Kashiwamura, T. / Shibata, M. / Takeya, T. / Oizumi, H. / Itani, T. / SEMATECH (Organization); SPIE (Society) et al. | 2010
-
Comparison of EUV spectral and ion emission features from laser-produced Sn and Li plasmas [7636-117]Coons, R.W. / Campos, D. / Crank, M. / Harilal, S.S. / Hassanein, A. / SEMATECH (Organization); SPIE (Society) et al. | 2010
-
High brightness EUV light sources for actinic metrology [7636-122]Choi, P. / Zakharov, S.V. / Aliaga-Rossel, R. / Bakouboula, A. / Bastide, J. / Benali, O. / Bove, P. / Cau, M. / Duffy, G. / Kezzar, W. et al. | 2010
-
Tin ion and neutral dynamics within an LPP EUV source [7636-126]Rollinger, B. / Morris, O. / Chokani, N. / Abhari, R.S. / SEMATECH (Organization); SPIE (Society) et al. | 2010
-
Patterning with EUVL: the road to 22nm node [7636-25]Kim, H.-W. / Na, H.-S. / Cho, K.-Y. / Park, C.-M. / Yasue, T. / Mayya, S. / Cho, H.-K. / SEMATECH (Organization); SPIE (Society) et al. | 2010
-
Actinic review of EUV masks [7636-47]Feldmann, H. / Ruoff, J. / Harnisch, W. / Kaiser, W. / SEMATECH (Organization); SPIE (Society) et al. | 2010
-
EUV into production with ASML's NXE platform (Invited Paper) [7636-52]Wagner, C. / Harned, N. / Kuerz, P. / Lowisch, M. / Meiling, H. / Ockwell, D. / Peeters, R. / van Ingen-Schenau, K. / van Setten, E. / Stoeldraijer, J. et al. | 2010
-
Process liability evaluation for beyond 22nm node using EUVL [7636-60]Tawarayama, K. / Aoyama, H. / Matsunaga, K. / Arisawa, Y. / Uno, T. / Magoshi, S. / Kyoh, S. / Nakajima, Y. / Inanami, R. / Tanaka, S. et al. | 2010
-
Influence of mask surface roughness on 22nm node extreme ultraviolet lithography [7636-93]Kim, E.-J. / You, J.-H. / Kim, S.-S. / Cho, H.-K. / Ahn, J. / An, I. / Oh, H.-K. / SEMATECH (Organization); SPIE (Society) et al. | 2010
-
Alternative resist processes for LWR reduction in EUVL [7636-115]Kaneyama, K. / Matsunaga, K. / Shiraishi, G. / Santillan, J.J. / Itani, T. / SEMATECH (Organization); SPIE (Society) et al. | 2010