The unavoidable renaissance of electron metrology in the age of high NA EUV (English)
- New search for: Lorusso, Gian Francesco
- New search for: Lorusso, Gian Francesco
In:
Metrology, Inspection, and Process Control for Semiconductor Manufacturing XXXV
;
1161127-1161127-10
;
2021
-
ISBN:
-
ISSN:
- Conference paper / Print
-
Title:The unavoidable renaissance of electron metrology in the age of high NA EUV
-
Contributors:Lorusso, Gian Francesco ( author )
-
Conference:Metrology, Inspection, and Process Control for Semiconductor Manufacturing XXXV
-
Published in:Metrology, Inspection, and Process Control for Semiconductor Manufacturing XXXV ; 1161127-1161127-10Proceedings of SPIE, the International Society for Optical Engineering ; 11611 ; 1161127-1161127-10
-
Publisher:
- New search for: SPIE
-
Publication date:2021-01-01
-
Size:1 pages
-
ISBN:
-
ISSN:
-
Type of media:Conference paper
-
Type of material:Print
-
Language:English
-
Source:
© Metadata Copyright the British Library Board and other contributors. All rights reserved.
Table of contents conference proceedings
The tables of contents are generated automatically and are based on the data records of the individual contributions available in the index of the TIB portal. The display of the Tables of Contents may therefore be incomplete.
- 116110B
-
High voltage scanning electron microscope overlay metrology accuracy for after development inspectionCzerkas, S. / Gutman, N. / Gronheid, R. / Gurevich, E. / Wang, R. / Feler, Y. / Zaberchik, M. / Grauer, Y. / Stoschus, H. / Uziel, Y. et al. | 2021
- 116110C
-
Characterization of metrology to device overlay offset and novel methods to minimize itBhattacharyya, Kaustuve / Chang, Ken / Lin, Jeff / Mathijssen, Simon / Noot, Marc / Farhadzadeh, Farzad / Den Boef, Arie / Lin, Momo / Sun, Frank / Huang, Justin et al. | 2021
- 116110E
-
Machine learning for Tool Induced Shift (TIS) reduction: an HVM case studyOphir, Boaz / Shusterman, Udi / Golotsvan, Anna / Kato, Cindy / Hayashi, Masanobu / Kato, Richika / Goto, Tomohiro / Kuriyama, Taketo / Miyake, Manabu / Takeuchi, Yasuki et al. | 2021
- 116110G
-
Microsphere-assisted ultra-small spot spectral reflectometry technique for semiconductor device metrologyKwon, Soonyang / Kim, Kwangrak / Park, Jangryul / Cho, Yunje / Lee, Myungjun et al. | 2021
- 116110H
-
High-speed wafer film measurement with heterogeneous optical sensor systemCho, Doo-Hyun / Park, Seung Beom / Kim, Sung-Ha / Kim, Taejoong / Lee, Kwangsung et al. | 2021
- 116110I
-
Metrology of thin layer deposition with combined XRR-GIXRF analysis at SOLEIL synchotronMénesguen, Y. / Lépy, M.-C. et al. | 2021
- 116110J
-
An innovative probe microscopy solution for measuring conductivity profiles in 3-dimensionsCelano, U. / Paredis, K. / Humphris, A. D. L. / Tedaldi, M. / O'Sullivan, C. / Hole, J. P. / Goulden, J. et al. | 2021
- 116110K
-
Scanning microwave impedance microscopy for materials metrologyAntoniou, Nicholas / Chintala, Ravi / Yang, Yongliang et al. | 2021
- 116110L
-
A new metrology technique for defect inspection via coherent Fourier scatterometry using orbital angular momentum beamsWang, Bin / Tanksalvala, Michael / Zhang, Zhe / Esashi, Yuka / Jenkins, Nicholas W. / Murnane, Margaret M. / Kapteyn, Henry C. / Liao, Chen-Ting et al. | 2021
- 116110M
-
In-line schematic failure analysis technique by defect SEM imagesOkude, Junya / Ida, Chihiro / Nojima, Kazuhiro / Hamaguchi, Akira et al. | 2021
- 116110N
-
e-Beam detection of over-etch in semiconductor processing and how over-etch level is related to defect detection parametersOwusu-Boahen, Kwame / Han, Chang (Carl) / Hsueh, Ching / Kim, Chulwoo (Jake) / Vijayakumar, Arun / Devender, Fnu / Moreau, David J. et al. | 2021
- 116110O
-
Frequency encoding scheme for reticle front and back side inspectionPawlowski, Michal E. / Loke, Corey / Bendiksen, Aage / Kochersperger, Peter / Wiener, Roberto / Roux, Steve / Munden, Ryan et al. | 2021
- 116110P
-
Defect simulation in SEM images using generative adversarial networksWang, Zhe / Yu, Liangjiang / Pu, Lingling et al. | 2021
- 116110S
-
High resolution profiles of 3D NAND pillars using x-ray scattering metrologyFan, M. / Ranjit, R. / Thurber, A. / Engelhard, D. et al. | 2021
- 116110T
-
Comparative near infrared through-focus scanning optical microscopy for 3D memory subsurface defect detection and classificationLee, Jun Ho / Na, Seokjin / Jeong, Junhee / Buengener, Ralf et al. | 2021
- 116110U
-
Measurability analysis of the HAR structure in 3D memory by T-SAXS simulationSasaki, Kaori / Hashimoto, Takaki / Kuo, Yenting / Tsukada, Hiroshi / Tanizaki, Hiroyuki et al. | 2021
- 116110V
-
Fast in-device overlay metrology on multi-tier 3DNAND devices without DECAP and its applications in process characterization and controlFeng, Yaobin / Xuan, Pandeng / Wu, Dean / Yang, Bruce / Xu, Craig / Liu, Neo / Izikson, Pavel / You, Huanian / Yan, Xi-Zhi / Markov, Vladimir et al. | 2021
- 116110W
-
X-ray critical dimension metrology solution for high aspect ratio semiconductor structuresWormington, Matthew / Ginsburg, Adam / Reichental, Israel / Dikopoltsev, Alex / Krokhmal, Alex / Vinshtein, Yuri / Ryan, Paul / Korlahalli, Rahul / Wong, Franklin / Rabello, Silvio et al. | 2021
- 116110X
-
Evaluation of deep learning model for 3D profiling of HAR features using high-voltage CD-SEMSun, Wei / Goto, Yasunori / Yamamoto, Takuma / Hitomi, Keiichiro et al. | 2021
- 116110Y
-
Investigating SEM-contour to CD-SEM matchingWeisbuch, Francois / Schatz, Jirka / Mattick, Sylvio / Schuch, Nivea / Figueiro, Thiago / Schiavone, Patrick et al. | 2021
- 116110Z
-
Pattern placement and shape distortion control using contour-based metrologyLe Gratiet, Bertrand / Bouyssou, Régis / Ducoté, Julien / Ostrovsky, Alain / Audran, Stephanie / Gardin, Christian / Schuch, Nivea G. / Valade, Charles / Belissard, Jordan / Millequant, Matthieu et al. | 2021
- 116111A
-
Denoising sample-limited SEM images without clean dataLei, Hairong / Teh, Cho / Yu, Liangjiang / Fu, Gino / Pu, Lingling / Fang, Wei et al. | 2021
- 116111B
-
Diagnosing and removing CD-SEM metrology artifactsMack, Chris A. / Lorusso, Gian F. / Delvaux, Christie et al. | 2021
- 116111E
-
Optimizing focus and dose process windows for robust process control using a multi-feature analysisGroeger, Philip / Khurana, Ranjan / Mitra, Aayush / Eggleston, Mycahya / Buhl, Stefan / Kim, Wan-Soo / Thrun, Xaver et al. | 2021
- 116111F
-
Privacy preserving amalgamated machine learning for process controlVerachtert, Wilfried / Ashby, Thomas J. / Chakroun, Imen / Wuyts, Roel / Das, Sayantan / Halder, Sandip / Leray, Philippe et al. | 2021
- 116111G
-
Hybrid overlay control solution with CDSEM and optical metrologyWu, Chengzhang / Xu, Dongyu / Yuan, Hua / Yu, Yinsheng / Zhao, Hongwen / Zhou, Wenzhan / Pu, Haifeng / Liu, Faquan / Pei, Jason / Song, Jinyan et al. | 2021
- 116111I
-
Novel methods for stitching and overlay correctionsPu, Haifeng / Zhu, Ningqi / Zhong, Shengyuan / Pei, Jason / Huang, Chin-Chou K. / Cheng, Qi / Tong, Lifeng / Wang, Chuanlong / Wang, Jian / He, Hongbo et al. | 2021
- 116111J
-
A breakthrough on throughput and accuracy limitation in ellipsometry using self-interference holographic analysisJung, Jaehwang / Hidaka, Yasuhiro / Kim, Jinseob / Numata, Mitsunori / Kim, Wookrae / Ueyama, Shinji / Lee, Myungjun et al. | 2021
- 116111L
-
Machine learning aided process control: critical dimension uniformity control of etching process in 1z nm DRAMJo, Taeyong / Choi, Insoo / Choi, Doocheol / Bae, Yoonsung / Byoun, Seunggun / Kim, Inho / Lee, Sukwon / Choi, Changhoon / Kum, Euiseok / Kang, Youngil et al. | 2021
- 116111M
-
Latent image characterization by spectroscopic reflectometry in the extreme ultravioletSchröder, Sophia / Bahrenberg, Lukas / Lüttgenau, Bernhard / Glabisch, Sven / Danylyuk, Serhiy / Brose, Sascha / Stollenwerk, Jochen / Loosen, Peter et al. | 2021
- 116111N
-
Unsupervised density-based machine learning for abnormal leveling signatures detectionChastan, Mathias / Lam, Auguste / Iutzeler, Franck et al. | 2021
- 116111O
-
Ellipsometric critical dimension metrology employing mid-infrared wavelengths for high-aspect-ratio channel hole module etch processesAntonelli, G. Andrew / Keller, Nick / Ribaudo, Troy / Wong, Franklin J. / Ming, Wenmei / Ding, Hepeng / Chen, Zhuo / Grynko, Ross / Fumani, Ahmad / Liu, Zhuan et al. | 2021
- 116111P
-
Methods to overcome limited labeled data sets in machine learning-based optical critical dimension metrologyWong, Franklin J. / Hao, Yudong / Ming, Wenmei / Žuvela, Petar / Teh, Peifen / Shi, Jingsheng / Li, Jie et al. | 2021
- 116111Q
-
Spectroscopy: a new route towards critical-dimension metrology of the cavity etch of nanosheet transistorsBogdanowicz, J. / Oniki, Y. / Kenis, K. / Muraki, Y. / Nuytten, T. / Sergeant, S. / Franquet, A. / Spampinato, V. / Conard, T. / Hoflijk, I. et al. | 2021
- 116111S
-
Scatterometry of nanowire/nanosheet FETs for advanced technology nodesKorde, Madhulika / Kal, Subhadeep / Alix, Cheryl / Keller, Nick / Antonelli, G. Andrew / Mosden, Aelan / Diebold, Alain C. et al. | 2021
- 116111T
-
In-line Raman spectroscopy for stacked nanosheet device manufacturingSchmidt, D. / Durfee, C. / Li, J. / Loubet, N. / Cepler, A. / Neeman, L. / Meir, N. / Ofek, J. / Oren, Y. / Fishman, D. et al. | 2021
- 116111U
-
OCD enhanced: implementation and validation of spectral interferometry for nanosheet inner spacer indentationSchmidt, D. / Durfee, C. / Pancharatnam, S. / Medikonda, M. / Greene, A. / Frougier, J. / Cepler, A. / Belkin, G. / Shafir, D. / Koret, R. et al. | 2021
- 116111V
-
Edge placement error wafer mapping and investigation for improvement in advanced DRAM nodeChen, Kuan-Ming / Henke, Wolfgang / Jung, Ji-Hoon / Kasperkiewicz, Ewa / Bouma, Anita / Rahman, Rizvi / Isai, Gratiela / Kim, Gwang-Gon / Tsiachris, Sotirios / Yoo, Jae-Doug et al. | 2021
- 116111W
-
Improvement of EPE measurement accuracy on ADI wafer, the method of using machine learning trained with CADOkamoto, Yosuke / Nakazawa, Shinichi / Kawamura, Akinori / Mori, Taihei / Maruyama, Kotaro / Kang, Seul-Ki / Yamazaki, Yuichiro et al. | 2021
- 116111Y
-
Contour-based metrology for assessment of edge placement error and its decomposition into global/local CD uniformity and LELE intralayer overlayZhou, Wenzhan / Wei, Fang / Zhang, Yu / Zhu, Jun / Hu, Chan-Yuan / Cho, Kyoyeon / Corradi, Antonio / Pao, Kuo-Feng / Jain, Vivek / Elmalk, Abdalmohsen et al. | 2021
- 116112A
-
Scatterometry solutions for 14nm half-pitch BEOL layers patterned by EUV single exposureDas, Sayantan / Hung, Joey / Halder, Sandip / Koret, Roy / Turovets, Igor / Charley, Anne-Laure / Leray, Philippe et al. | 2021
- 116112B
-
Assessment of stochastic fail rate using E-beam massive metrologyHe, Wallace / Xu, Camille / Bae, Daniels / Chen, Kunyuan / Lan, Andy / Yang, Richer / Elmalk, Abdalmohsen / Jiang, Aiqin / Wang, Fuming / Chung, Double et al. | 2021
- 116112C
-
Multivariate analysis methodology for the study of massive multidimensional SEM dataSaib, Mohamed / Lorusso, Gian Francesco / Charley, Anne-Laure / Leray, Philippe / Kondo, Tsuyoshi / Kawamoto, Yuta / Ebizuka, Yasushi / Ban, Naoma et al. | 2021
- 116112D
-
Virtual metrology: how to build the bridge between the different data sourcesSchueler, Stefan / Hartig, Carsten / Torres, Andres / Kissiov, Ivan / Gardner, Richard / Mohamed, Essam / Jayaram, Srividya et al. | 2021
- 116112E
-
Recent advancements in atomic force microscopyvan Reijzen, M. / Boerema, M. / Kalinin, A. / Sadeghian, H. / Bozdog, C. et al. | 2021
- 116112F
-
Broadband scatterometry at extreme ultraviolet wavelengths for nanograting characterizationGhafoori, Moein / Bahrenberg, Lukas / Glabisch, Sven / Schroeder, Sophia / Danylyuk, Serhiy / Brose, Sascha / Stollenwerk, Jochen / Juschkin, Larissa / Loosen, Peter et al. | 2021
- 116112G
-
Detection and correlation of yield loss induced by color resist deposition deviation with a deep learning approach applied to optical acquisitionsAlcaire, Thomas / Le Cunff, Delphine / Tortai, Jean-Hervé / Soulan, Sebastien / Gredy, Victor / Templier, Maxime / Kessar, Mehdi / Bianchini, Raffaele / Berthoud, Audrey et al. | 2021
- 116112H
-
Defect characterization of EUV Self-Aligned Litho-Etch Litho-Etch (SALELE) patterning scheme for advanced nodesSah, Kaushik / Cross, Andrew / Das, Sayantan / Blanco, Victor / Kljucar, Luka / Halder, Sandip / Leray, Philippe et al. | 2021
- 116112I
-
Effects of lithography process conditions on unbiased line roughness by PSD analysisBian, Yuyang / Lai, Lulu / Gao, Song / Hu, Dandan / Guan, Xijun / Liu, Biqiu / Guo, Xiaobo / Zhang, Cong / Huang, Jun / Zhang, Yu et al. | 2021
- 116112J
-
Mark design challenge of cut layer in FinFetLai, Lulu / Bian, Yuyang / Chen, Shaopeng / Liu, Biqiu / Qian, Rui / Guo, Xiaobo / Zhang, Cong / Huang, Jun / Zhang, Yu et al. | 2021
- 116112L
-
Data fusion by artificial neural network for hybrid metrology developmentPenlap Woguia, L. / Reche, J. / Besacier, M. / Gergaud, P. / Rademaker, G. et al. | 2021
- 116112M
-
Imaging-based overlay metrology optimized by HV-SEM in 3D-NAND processLiu, Yu-Lin / Chang, Li-Ting / Huang, Chi-Hao / Yang, Mars / Yang, Elvis / Yang, T. H. / Chen, K. C. et al. | 2021
- 116112N
-
Study of high throughput EUV mask pattern defect inspection technologies using multibeam electron opticsTakekoshi, Hidekazu / Ogawa, Riki / Hartley, John G. / Pinckeny, David J. / Ando, Atsushi / Ishii, Koichi / Noda, Chosaku / Sugimori, Tadayuki / Kikuiri, Nobutaka et al. | 2021
- 116112O
-
Accuracy enhancement in imaging-based overlay metrology by optimizing measurement conditions per layerKatz, Shlomit / Grauer, Yoav / Yohanan, Raviv / Liu, Xiaolei / Negri, Daria / Golotsvan, Anna et al. | 2021
- 116112P
-
Process variation impacts on optical overlay accuracy signatureDirawi, Rawi / Katz, Shlomit / Volkovich, Roie et al. | 2021
- 116112Q
-
Non-destructive depth measurement using SEM signal intensitySeo, Jong-Hyun / Lee, Changhwan / Lee, Byoungho / Doi, Ayumi / Yamauchi, Aoi / Bizen, Daisuke / Suzuki, Makoto et al. | 2021
- 116112S
-
Absolute coordinate system adjustment and calibration by using standalone alignment metrology systemAndo, Satoshi / Saito, Haruki / Tanaka, Sayuri / Kawata, Tetsuya / Okamoto, Takanobu / Makino, Katsushi / Shiba, Yuji / Yahiro, Takehisa / Ishikawa, Jun / Morita, Masahiro et al. | 2021
- 116112U
-
An accurate and robust after-develop overlay measurement solution using YieldStar multi-wavelength optical metrology accompanied by a precise application strategyZhang, Pengzhen / You, Kai / Xuan, Pandeng / Feng, Yaobin / Shen, Longfei / Xu, Jolly / Hou, Ji-Ling / Shanmugasundaram, Saravana Prakash / Sui, Summer / Ren, Shiwei et al. | 2021
- 116112V
-
Introducing machine learning-based application for writer main pole CD metrology by dual beam FIB/SEMZandiatashbar, Ardavan / Ngo, Anhhuy / Chien, Chester / Baderot, Julien / Martinez, Sergio / Darbon, Bertrand / Foucher, Johann et al. | 2021
- 116112W
-
A novel method of overlay variation study for 3D NAND channel holeTu, Leeming / Zhou, Haydn / Xiao, Erik / Zhu, Jin / Li, Cynthia / Zhu, Ningqi / Li, Xin / Pei, Jason / Bing, Miao / Chu, Seddy et al. | 2021
- 116112X
-
AFM line space trench and depth measurement of fan-out fine-pitch high aspect ratio redistribution layer structureZhong, Sung-Hua / Chen, Liang-Pin et al. | 2021
- 116112Z
-
Accuracy aware pixel selection in multi-wavelength μDBO metrology enables higher robustness and accuracy for DRAMChen, Chia Hung / Tsao, Sheng-Tsung / Du, Jie / Song, Wenkang / Zhu, Hongwei / Hou, Ji-Ling / Shen, Longfei / Xia, Sunny / Mathijssen, Simon / Noot, Marc et al. | 2021
- 116113A
-
Plasma assisted particle contamination control: plasma charging dependence on particle morphologyvan Huijstee, J. C. A. / van Minderhout, B. / Rompelberg, R. M. H. / Blom, P. / Peijnenburg, T. / Beckers, J. et al. | 2021
- 116113B
-
A study on diffraction-based overlay measurement based on FDTD methodXu, Buqing / Wu, Qiang / Chen, Rui / Dong, Lisong / Zhang, Libin / Wei, Yayi et al. | 2021
- 1161101
-
Front Matter: Volume 11611| 2021
- 1161107
-
The emergence of inline screening for high volume manufacturingDonzella, Oreste / Robinson, John C. / Sherman, Kara / Lach, Justin / von den Hoff, Mike / Saville, Barry / Groos, Thomas / Lim, Alex / Price, David W. / Rathert, Jay et al. | 2021
- 1161110
-
Roughness measurement of 2D curvilinear patterns: challenges and advanced methodologyPradelles, Jonathan / Perraud, Loïc / Fay, Aurélien / Sezestre, Elie / Henry, Jean-Baptiste / Bustos, Jessy / Guyez, Estelle / Berard-Bergery, Sébastien / Le Pennec, Aurélie / Abaidi, Mohamed et al. | 2021
- 1161111
-
Massive metrology and inspection solution for EUV by area inspection SEM with machine learning technologyKondo, Tsuyoshi / Ban, Naoma / Ebizuka, Yasushi / Toyoda, Yasutaka / Yamada, Yukari / Kashiwa, Taeko / Koike, Hirohito / Shindo, Hiroyuki / Charley, Anne-Laure / Saib, Mohamed et al. | 2021
- 1161112
-
Better prediction on patterning failure mode with hotspot aware OPC modelingWei, Chih-I / Wu, Stewart / Deng, Yunfei / Khaira, Gurdaman / Kusnadi, Ir / Fenger, Germain / Kang, Seulki / Okamoto, Yosuke / Maruyama, Kotaro / Yamazaki, Yuichiro et al. | 2021
- 1161113
-
Contour-based process characterization, modeling, and control for semiconductor manufacturingZhou, Kan / Guo, Xin / Zhou, Wenzhan / Wan, Qijian / Du, Chunshan / Wu, Wenming / Chen, Ao / Zhang, Recoo / Fenger, Germain / Rampoori, Seshadri et al. | 2021
- 1161115
-
SEM image denoising with unsupervised machine learning for better defect inspection and metrologyDey, Bappaditya / Halder, Sandip / Khalil, Kasem / Lorusso, Gian / Severi, Joren / Leray, Philippe / Bayoumi, Magdy A. et al. | 2021
- 1161116
-
Spectral analysis of line edge and line width roughness using waveletsOrji, Ndubuisi G. et al. | 2021
- 1161117
-
Evaluating SEM-based LER metrology using a metrological tilting-AFMKizu, Ryosuke / Misumi, Ichiko / Hirai, Akiko / Gonda, Satoshi et al. | 2021
- 1161118
-
Determining the validity domain of roughness measurements as a function of CD-SEM acquisition conditionsAbaidi, Mohamed / Belissard, Jordan / Schuch, Nivea / Figueiro, Thiago / Millequant, Matthieu / Pradelles, Jonathan / Perraud, Loïc / Fay, Aurélien / Bustos, Jessy / Henry, Jean-Baptiste et al. | 2021
- 1161122
-
Self-referenced and self-calibrated MoiréOVL target design and applicationsWong, Cheuk Wun / Rathi, Neelima / Kagalwala, Taher / Gutjahr, Karsten / Snow, Patrick / Joung, Tony / Vuong, Tam / Marmarinos, Apollo / Wan, Gorby et al. | 2021
- 1161123
-
Statistical process optimization method for metrology equipmentKim, Dongsoo / Zaberchik, Moran / Li, Chen / Lee, Honggoo / Park, Chanha / Lee, Sangho / Lee, Dongyoung / Beatty, Scott / Park, Jae Y. / Karur-Shanmugam, Ramkumar et al. | 2021
- 1161124
-
Signal weighted overlay optimizer for scatterometry metrologyYagil, A. / Dirawi, R. / Lin, W. L. / Volfman, A. / Men, Y. / Milo, R. / Yaziv, T. / Lamhot, Y. et al. | 2021
- 1161125
-
Moiré effect-based overlay target design for OPO improvementsVan den Heuvel, Dieter / Leray, Philippe / Hajaj, Eitan / Shaphirov, Diana / Ashwal, Eltsafon / Dror, Chen / Yohanan, Raviv / Ghinovker, Mark / Gordon, Katya / Liu, Zephyr et al. | 2021
- 1161126
-
Novel diffraction-based overlay metrology utilizing phase-based overlay for improved robustnessMatsunobu, Masazumi / Nishiyama, Toshiharu / Inoue, Michio / Housley, Richard / Bozdog, Cornel / Lim, Justin / Watson, Brian / Reece, Jason / McCandless, Steve / Zwier, Olger et al. | 2021
- 1161127
-
The unavoidable renaissance of electron metrology in the age of high NA EUVLorusso, Gian Francesco et al. | 2021
- 1161128
-
Defect characterization of 28 nm pitch EUV single patterning structures for iN5 nodeSah, Kaushik / Das, Sayantan / Cross, Andrew / Peng, Kay / Tran, Kha / Babu, Binesh / Liang, Ardis / De Simone, Danilo / Leray, Philippe / Halder, Sandip et al. | 2021
- 1161129
-
Massive e-beam metrology and inspection for analysis of EUV stochastic defectKang, Seulki / Maruyama, Kotaro / Yamazaki, Yuichiro / De Simone, Danilo / Rincon-Delgadillo, Paulina / Frommhold, Andreas / Lorusso, Gian / Das, Sayantan / Halder, Sandip / Leray, Philippe et al. | 2021
- 1161131
-
Method to improve the overlay image contrast and optimize the sub-segmentation markZhang, Libin / Lu, Cong / Feng, Yaobin / Wei, Yayi et al. | 2021
- 1161132
-
Excursion detection and root-cause analysis using virtual overlay metrologyvan Dijk, Leon / Adal, Kedir M. / Chastan, Mathias / Lam, Auguste / van Haren, Richard et al. | 2021
- 1161133
-
Robustness improvement in imaging-based overlay metrology for high topography layers by Talbot targetsWatson, Brian / Katz, Shlomit / Housley, Richard / Thong, Kar Wui / Roy, Nikhil Aditya Kumar / Grauer, Yoav / Shapirov, Diana / Yohanan, Raviv / Gray, Greg / Li, Yonglei et al. | 2021
- 1161134
-
Lithography PR profile improvement and defects reduction by film pre-treatmentWang, Jiantao / Gao, Song / Liu, Shuo / Liu, Biqiu / Guo, Xiaobo / Zhang, Cong / Huang, Jun / Zhang, Yu et al. | 2021
- 1161135
-
Automated extraction of critical dimension from SEM images with WeaveTMMedina, Leandro / Sundahl, Bryan / Bonnecaze, Roger T. / Chopra, Meghali J. et al. | 2021
- 1161136
-
In-line applications of atomic force microscope based topography inspection for emerging roll-to-roll nanomanufacturing processesConnolly, Liam G. / Cullinan, Michael et al. | 2021
- 1161139
-
Investigation and optimization of STI dry-etch induced overlay through patterned wafer geometry toolHuang, Tsu-Wen / Chuang, Ying-Cheng / Huang, Hsuan-Jui / Liu, Chung-Chang / Chu, Hsiao-Lun / Chen, Sheng-Yu / Li, Ming-Ju / Tang, Jun-Eu / Chee, Chiou-Shoei / Chang, Choon-Wai et al. | 2021