Comparison of ZnO nanostructures grown using pulsed laser deposition, metal organic chemical vapor deposition, and physical vapor transport (English)
- New search for: Sandana, V.E.
- New search for: Rogers, D.J.
- New search for: Teherani, F.H.
- New search for: McClintock, R.
- New search for: Bayram, C.
- New search for: Razeghi, M.
- New search for: Drouhin, H.-J.
- New search for: Clochard, M.C.
- New search for: Sallet, V.
- New search for: Garry, G.
- New search for: Sandana, V.E.
- New search for: Rogers, D.J.
- New search for: Teherani, F.H.
- New search for: McClintock, R.
- New search for: Bayram, C.
- New search for: Razeghi, M.
- New search for: Drouhin, H.-J.
- New search for: Clochard, M.C.
- New search for: Sallet, V.
- New search for: Garry, G.
In:
JOURNAL OF VACUUM SCIENCE AND TECHNOLOGY B MICROELECTRONICS AND NANOMETER STRUCTURES
;
27
;
1678
;
2009
-
ISSN:
- Article (Journal) / Print
-
Title:Comparison of ZnO nanostructures grown using pulsed laser deposition, metal organic chemical vapor deposition, and physical vapor transport
-
Contributors:Sandana, V.E. ( author ) / Rogers, D.J. ( author ) / Teherani, F.H. ( author ) / McClintock, R. ( author ) / Bayram, C. ( author ) / Razeghi, M. ( author ) / Drouhin, H.-J. ( author ) / Clochard, M.C. ( author ) / Sallet, V. ( author ) / Garry, G. ( author )
-
Published in:
-
Publisher:
- New search for: American Vacuum Society
-
Publication date:2009-01-01
-
Size:1678 pages
-
ISSN:
-
Type of media:Article (Journal)
-
Type of material:Print
-
Language:English
- New search for: 621.55
- Further information on Dewey Decimal Classification
-
Classification:
DDC: 621.55 -
Source:
© Metadata Copyright the British Library Board and other contributors. All rights reserved.
Table of contents – Volume 27
The tables of contents are generated automatically and are based on the data records of the individual contributions available in the index of the TIB portal. The display of the Tables of Contents may therefore be incomplete.
- 1
-
Thick membrane operated rf microelectromechanical system switch with low actuation voltageKim, Jongseok / Kwon, Sangwook / Hong, Youngtack / Jeong, Heemoon / Song, Insang / Ju, Byeongkwon et al. | 2009
- 6
-
Deprotection blur in extreme ultraviolet photoresists: Influence of base loading and post-exposure bake temperatureAnderson, Christopher N. / Naulleau, Patrick P. et al. | 2009
- 11
-
Thermal infrared detection using dipole antenna-coupled metal-oxide-metal diodesBean, Jeffrey A. / Tiwari, Badri / Bernstein, Gary H. / Fay, P. / Porod, Wolfgang et al. | 2009
- 15
-
Development of a vacuum packaged nanodiamond lateral field emission deviceSubramanian, K. / Schroeder, R. / Kang, W. P. / Davidson, J. L. et al. | 2009
- 19
-
Duplication of nanoimprint templates by a novel SU-8//PMMA trilayer techniqueWan, Jing / Shu, Zhen / Deng, Shao-Ren / Xie, Shen-Qi / Lu, Bing-Rui / Liu, Ran / Chen, Yifang / Qu, Xin-Ping et al. | 2009
- 23
-
A silicon-germanium W-structure photodiode for near-infrared detectionAli, Dyan / Thompson, Phillip / DiPasquale, Joseph / Richardson, Christopher J. K. et al. | 2009
- 28
-
Lift-off and hybrid applications with ma-n 1405 negative-tone resistAassime, A. / Mathet, V. et al. | 2009
- 33
-
Dependence of etch rates of silicon substrates on the use of and plasmas in the deposition step of the Bosch processRhee, Hyongmoo / Lee, Hae Min / Namkoung, Yun Mi / Kim, Chang-Koo / Chae, Heeyeop / Kim, Yil Wook et al. | 2009
- 41
-
Field-emission properties of carbon nanotubes grown using Cu–Cr catalystsZhang, Zhejuan / Chua, Daniel H. C. / Gao, Yang / Zhang, Yanping / Tang, Zhe / Tay, Beng Kang / Feng, Tao / Sun, Zhuo / Chen, Yiwei et al. | 2009
- 47
-
Detailed characterization of hydrogen silsesquioxane for e-beam applications in a dynamic random access memory pilot line environmentKeil, K. / Choi, K.-H. / Hohle, C. / Kretz, J. / Szikszai, L. / Bartha, J.-W. et al. | 2009
- 52
-
Some peculiarities of resist-profile simulation for positive-tone chemically amplified resists in electron-beam lithographyVutova, K. / Koleva, E. / Mladenov, G. / Kostic, I. et al. | 2009
- 58
-
Absorber stack with transparent conductive oxide layer for extreme ultraviolet lithographyKang, Hee Young / Hwangbo, Chang Kwon et al. | 2009
- 61
-
Fabrication of nanoscale bioarrays for the study of cytoskeletal protein binding interactions using nanoimprint lithographySchvartzman, M. / Nguyen, K. / Palma, M. / Abramson, J. / Sable, J. / Hone, J. / Sheetz, M. P. / Wind, S. J. et al. | 2009
- 66
-
Latest results from the SEMATECH Berkeley extreme ultraviolet microfield exposure toolNaulleau, Patrick P. / Anderson, Christopher N. / Chiu, Jerrin / Dean, Kim / Denham, Paul / George, Simi / Goldberg, Kenneth A. / Hoef, Brian / Jones, Gideon / Koh, Chawon et al. | 2009
- 71
-
Study of interface degradation of Hf-silicate gate dielectrics during thermal nitridation processSon, S. Y. / Jang, J. H. / Kumar, P. / Singh, R. K. / Yuh, J. H. / Cho, H. / Kang, C. J. et al. | 2009
- 76
-
Self-assembly solder process to form three-dimensional structures on siliconRao, M. / Lusth, J. C. / Burkett, S. L. et al. | 2009
- 81
-
superlattice films deposited on atomic-scale flattened sapphire substrates for dilute magnetic semiconductor applicationsSeong, Nak-Jin / Jiang, Juan / Yoon, Soon-Gil et al. | 2009
- 85
-
Forbidden pitch improvement using modified illumination in lithographyLing, M. L. / Tay, C. J. / Quan, C. / Chua, G. S. / Lin, Q. et al. | 2009
- 92
-
Plasma-surface interactions of advanced photoresists with discharges: Plasma parameter dependenciesEngelmann, S. / Bruce, R. L. / Sumiya, M. / Kwon, T. / Phaneuf, R. / Oehrlein, G. S. / Andes, C. / Graves, D. / Nest, D. / Hudson, E. A. et al. | 2009
- 107
-
Band bending and adsorption/desorption kinetics on N-polar GaN surfacesChoi, Soojeong / Kim, Tong-Ho / Wu, Pae / Brown, April / Everitt, Henry O. / Losurdo, Maria / Bruno, Giovanni et al. | 2009
- 113
-
Plasma reactor dry cleaning strategy after TaC, MoN, WSi, W, and WN etching processesRamos, R. / Cunge, G. / Joubert, O. / Lill, T. et al. | 2009
- 122
-
Transformation of polycrystalline tungsten to monocrystalline tungsten W(100) and its potential application in Schottky emittersDokania, A. K. / Hendrikx, Ruud / Kruit, P. et al. | 2009
- 126
-
Interface dependent electrical properties of amorphous thin film transistorsLim, Wantae / Jang, Jung Hun / Kim, S.-H. / Norton, D. P. / Craciun, V. / Pearton, S. J. / Ren, F. / Chen, H. et al. | 2009
- 130
-
Five-element circuit model using linear-regression method to correct the admittance measurement of metal-oxide-semiconductor capacitorCheng, Chao-Ching / Chien, Chao-Hsin / Luo, Guang-Li / Liu, Jun-Cheng / Chen, Yi-Cheng / Chang, Yao-Feng / Wang, Shin-Yuan / Kei, Chi-Chung / Hsiao, Chien-Nan / Chang, Chun-Yen et al. | 2009
- 134
-
Metal nanowire fabrication by force microscopy lithography using amorphous arsenic sulfide resist layerFonseca Filho, H. D. / Prioli, R. / Maurício, M. H. P. et al. | 2009
- 139
-
Electron optics of skewed micro-Einzel lensesvan Bruggen, M. J. / van Someren, B. / Kruit, P. et al. | 2009
- 148
-
Synthesis, structural and magnetic properties of epitaxial thin films by molecular beam epitaxyCheng, J. / Lazarov, V. K. / Sterbinsky, G. E. / Wessels, B. W. et al. | 2009
- 152
-
Near field emission scanning electron microscopyKirk, T. L. / Ramsperger, U. / Pescia, D. et al. | 2009
- 156
-
-planarized and transistor outlook-packaged oxide-confined vertical-cavity surface-emitting lasers with ring-shape geometry for high-speed operationTsai, Chia-Lung / Lin, Jia-Qing / Lee, Feng-Ming / Chou, Yi-Lun / Wu, Meng-Chyi et al. | 2009
- 161
-
REBL: A novel approach to high speed maskless electron beam direct write lithographyPetric, Paul / Bevis, Chris / Carroll, Allen / Percy, Henry / Zywno, Marek / Standiford, Keith / Brodie, Alan / Bareket, Noah / Grella, Luca et al. | 2009
- 167
-
Mitigation of microloading effect in nanoimprint mask fabricationMurali, Raghunath et al. | 2009
- 169
-
Computational and experimental studies of phase separation in mixturesZheng, Ying / Pregler, Sharon K. / Myers, Jason D. / Ouyang, Jiaomin / Sinnott, Susan B. / Xue, Jiangeng et al. | 2009
- 180
-
Stable tungsten disilicide contacts for surface and thin film resistivity measurementsJnawali, G. / Meyer zu Heringdorf, F.-J. / Wall, D. / Sindermann, S. / Horn-von Hoegen, M. et al. | 2009
- 184
-
DNA directed assembly of nanoparticle linear structure for nanophotonicsDing, Baoquan / Cabrini, Stefano / Zuckermann, Ronald N. / Bokor, Jeffrey et al. | 2009
- 188
-
Two-step resist-development process of hydrogen silsesquioxane for high-density electron-beam nanopatterningLee, Hyo-Sung / Wi, Jung-Sub / Nam, Sung-Wook / Kim, Hyun-Mi / Kim, Ki-Bum et al. | 2009
- 193
-
Electrical properties of nanotip-assisted microplasma devicesKim, Sung-O. / Ko, Yang-Suk / Gu, Hal-Bon et al. | 2009
- 198
-
Erratum: “Synthesis of nitrogen passivated rare-earth doped hafnia thin films and high temperature electrochemical conduction studies” [Ramanathan, Shriram / Karthikeyan, Annamalai / Govindarajan, S. A. / Kirsh, Paul D. et al. | 2009
J. Vac. Sci. Technol. B 26, L33 (2008)] - 199
-
Erratum: “Thermal conductivity of B–C–N and BN nanotubes” [J. Vac. Sci. Technol. B 23, 1883 (2005)]Chang, C. W. / Han, W. Q. / Zettl, Alex et al. | 2009
- 209
-
Alternative high- dielectrics for semiconductor applicationsVan Elshocht, S. / Adelmann, C. / Clima, S. / Pourtois, G. / Conard, T. / Delabie, A. / Franquet, A. / Lehnen, P. / Meersschaut, J. / Menou, N. et al. | 2009
- 214
-
Enhancement mode GaAs metal-oxide-semiconductor field-effect-transistor integrated with thin AlN surface passivation layer and silicon/phosphorus coimplanted source/drainGao, Fei / Lee, S. J. / Kwong, D. L. et al. | 2009
- 218
-
metal-oxide-semiconductor high electron mobility transistor with insulating films grown by metal organic chemical vapor deposition using Ar and carrier gasesČičo, K. / Kuzmík, J. / Liday, J. / Hušeková, K. / Pozzovivo, G. / Carlin, J.-F. / Grandjean, N. / Pogany, D. / Vogrinčič, P. / Fröhlich, K. et al. | 2009
- 223
-
Ammonia-free deposition of silicon nitride films using pulsed-plasma chemical vapor deposition under near atmospheric pressureMatsumoto, M. / Inayoshi, Y. / Murashige, S. / Suemitsu, M. / Nakajima, S. / Uehara, T. / Toyoshima, Y. et al. | 2009
- 226
-
Behavior of zirconium oxide films processed from novel monocyclopentadienyl precursors by atomic layer depositionKukli, Kaupo / Niinistö, Jaakko / Tamm, Aile / Ritala, Mikko / Leskelä, Markku et al. | 2009
- 230
-
Effect of annealing and electrical properties of high- kappa thin films grown by atomic layer deposition using carboxylic acids as oxygen sourceRauwel, E. / Ducroquet, F. / Rauwel, P. / Willinger, M.G. / Matko, I. / Pinna, N. et al. | 2009
- 230
-
Effect of annealing and electrical properties of high- thin films grown by atomic layer deposition using carboxylic acids as oxygen sourceRauwel, E. / Ducroquet, F. / Rauwel, P. / Willinger, M.-G. / Matko, I. / Pinna, N. et al. | 2009
- 236
-
Study of metal oxide-semiconductor capacitors with rf magnetron sputtering and gate dielectric layerAlbertin, K. F. / Pereyra, I. et al. | 2009
- 246
-
Metal-oxide-semiconductor devices on -type Ge with and as gate dielectric and the effect of postmetallization annealGalata, S. F. / Mavrou, G. / Tsipas, P. / Sotiropoulos, A. / Panayiotatos, Y. / Dimoulas, A. et al. | 2009
- 249
-
Gd silicate: A high- dielectric compatible with high temperature annealingGottlob, H. D. B. / Stefani, A. / Schmidt, M. / Lemme, M. C. / Kurz, H. / Mitrovic, I. Z. / Werner, M. / Davey, W. M. / Hall, S. / Chalker, P. R. et al. | 2009
- 253
-
Optimization of the AlON buffer layer for stacksHenkel, K. / Burkov, Y. / Karavaev, K. / Torche, M. / Schwiertz, C. / Schmeißer, D. et al. | 2009
- 258
-
Complementary metal oxide semiconductor integration of epitaxialLemme, M. C. / Gottlob, H. D. B. / Echtermeyer, T. J. / Schmidt, M. / Kurz, H. / Endres, R. / Schwalke, U. / Czernohorkky, M. / Tetzlaff, D. / Osten, H. J. et al. | 2009
- 262
-
Structure dependence of epitaxial on oxygen pressure during growthWatahiki, Tatsuro / Braun, Wolfgang / Riechert, Henning et al. | 2009
- 266
-
Epitaxial growth of high- rutile films on electrodesFröhlich, K. / Aarik, J. / Ťapajna, M. / Rosová, A. / Aidla, A. / Dobročka, E. / Hušková, K. et al. | 2009
- 271
-
On the band gaps and electronic structure of thin single crystalline praseodymium oxide layers on Si(111)Seifarth, O. / Dabrowski, J. / Zaumseil, P. / Müller, S. / Schmeißer, D. / Müssig, H.-J. / Schroeder, T. et al. | 2009
- 277
-
Band offsets and work function control in field effect transistorsRobertson, John et al. | 2009
- 286
-
Influence of the electrode material on metal-insulator-metal capacitorsWenger, Ch. / Lukosius, M. / Müssig, H.-J. / Ruhl, G. / Pasko, S. / Lohe, Ch. et al. | 2009
- 290
-
Effective work function engineering by lanthanide ion implantation of metal-oxide semiconductor gate stacksFet, A. / Häublein, V. / Bauer, A. J. / Ryssel, H. et al. | 2009
- 294
-
Predeposition plasma nitridation process applied to Ge substrates to passivate interfaces between crystalline-Ge substrates and Hf-based high- dielectricsLucovsky, G. / Long, J. P. / Chung, K.-B. / Seo, H. / Watts, B. / Vasic, R. / Ulrich, M. D. et al. | 2009
- 300
-
interface formation in atomic layer deposition films: An in situ investigationTallarida, Massimo / Karavaev, Konstantin / Schmeisser, Dieter et al. | 2009
- 305
-
Structure and defects of epitaxial Si(111) layers on support systemsBorschel, C. / Ronning, C. / Hofsäss, H. / Giussani, A. / Zaumseil, P. / Wenger, Ch. / Storck, P. / Schroeder, T. et al. | 2009
- 310
-
Identification of interfacial defects in high- gate stack films by spectroscopic ellipsometryPrice, J. / Bersuker, G. / Lysaght, P. S. et al. | 2009
- 313
-
Influence of ratio on the electrical properties of metal-ferroelectric -insulator -semiconductor capacitors fabricated by rf magnetron sputteringJuan, Trevor Pi-Chun / Lu, Jong-Hong / Lu, Ming-Wei et al. | 2009
- 317
-
Electron paramagnetic resonance characterization of defects in and powders and filmsBarklie, R. C. / Wright, Sandra et al. | 2009
- 321
-
Trap related dielectric absorption of HfSiO films in metal-insulator-semiconductor structuresKerber, M. / Fachmann, C. / Heitmann, J. / Kudelka, S. / Schröder, U. / Reisinger, H. et al. | 2009
- 325
-
Hafnium oxide thin films: Effect of growth parameters on oxygen and hafnium vacanciesHildebrandt, E. / Kurian, J. / Zimmermann, J. / Fleissner, A. / von Seggern, H. / Alff, L. et al. | 2009
- 329
-
Spatial distribution of electrically active defects in dual-layer gate dielectric -type metal oxide semiconductor field effect transistorsNguyen, T. / Savio, A. / Militaru, L. / Plossu, C. et al. | 2009
- 333
-
Frequency dispersion and dielectric relaxation ofZhao, C. Z. / Taylor, S. / Werner, M. / Chalker, P. R. / Gaskell, J. M. / Jones, A. C. et al. | 2009
- 338
-
Analytical modeling of tunneling current through stacks in metal oxide semiconductor structuresCoignus, J. / Clerc, R. / Leroux, C. / Reimbold, G. / Ghibaudo, G. / Boulanger, F. et al. | 2009
- 346
-
Analytical modeling of the tunneling probability through the double-layer gate stacksMajkusiak, B. et al. | 2009
- 352
-
Leakage current effects on plots of high- metal-oxide-semiconductor capacitorsLu, Y. / Hall, S. / Tan, L. Z. / Mitrovic, I. Z. / Davey, W. M. / Raeissi, B. / Engström, O. / Cherkaoui, K. / Monaghan, S. / Hurley, P. K. et al. | 2009
- 356
-
Comparison of standard macroscopic and conductive atomic force microscopy leakage measurements on gate removed high- capacitorsPolspoel, W. / Vandervorst, W. / Aguilera, L. / Porti, M. / Nafria, M. / Aymerich, X. et al. | 2009
- 360
-
Development of a conductive atomic force microscope with a logarithmic current-to-voltage converter for the study of metal oxide semiconductor gate dielectrics reliabilityAguilera, L. / Lanza, M. / Bayerl, A. / Porti, M. / Nafria, M. / Aymerich, X. et al. | 2009
- 364
-
Correlation of microscopic and macroscopic electrical characteristics of high- thin films using tunneling atomic force microscopyWeinreich, W. / Wilde, L. / Kücher, P. / Lemberger, M. / Yanev, V. / Rommel, M. / Bauer, A. J. / Erben, E. / Heitmann, J. / Schröder, U. et al. | 2009
- 369
-
Electrical characteristics of metal-ferroelectric -insulator -semiconductor capacitors and field-effect transistorsLin, Chih-Ming / Shih, Wen-chieh / Lee, Joseph Ya-min et al. | 2009
- 373
-
Electrical properties of amorphous barium titanate films sputter deposited under hydrogen containing atmosphereEl Kamel, F. / Gonon, P. / Sylvestre, A. / Vallée, C. et al. | 2009
- 378
-
Physicochemical and electrical characterizations of atomic layer deposition grown on TiN and Pt for metal-insulator-metal applicationJorel, C. / Vallée, C. / Gourvest, E. / Pelissier, B. / Kahn, M. / Bonvalot, M. / Gonon, P. et al. | 2009
- 384
-
Impact of a gamma-Al2O3(001) barrier on LaAlO3 metal-oxide-semiconductor capacitor electrical propertiesBecerra, L. / Merckling, C. / El-Kazzi, M. / Baboux, N. / Vilquin, B. / Saint-Girons, G. / Plossu, C. / Hollinger, G. et al. | 2009
- 384
-
Impact of a barrier on metal-oxide-semiconductor capacitor electrical propertiesBecerra, L. / Merckling, C. / El-Kazzi, M. / Baboux, N. / Vilquin, B. / Saint-Girons, G. / Plossu, C. / Hollinger, G. et al. | 2009
- 389
-
Electrical properties of thin zirconium and hafnium oxide high- gate dielectrics grown by atomic layer deposition from cyclopentadienyl and ozone precursorsDueñas, S. / Castán, H. / Garcia, H. / Gómez, A. / Bailón, L. / Kukli, K. / Niinistö, J. / Ritala, M. / Leskelä, M. et al. | 2009
- 394
-
Different mechanism to explain the noise in - and -SOI-MOS transistors fabricated on (110) and (100) silicon-oriented wafersGaubert, Philippe / Teramoto, Akinobu / Cheng, Weitao / Hamada, Tatsufumi / Ohmi, Tadahiro et al. | 2009
- 402
-
Low frequency noise analysis in gate oxide fully depleted silicon on insulator transistorsZafari, L. / Jomaah, J. / Ghibaudo, G. / Faynot, O. et al. | 2009
- 406
-
Radiation damage on dielectrics: Single event effectsPaccagnella, Alessandro / Gerardin, Simone / Cellere, Giorgio et al. | 2009
- 411
-
High- materials and their response to gamma ray radiationZhao, C. Z. / Taylor, S. / Werner, M. / Chalker, P. R. / Potter, R. J. / Gaskell, J. M. / Jones, A. C. et al. | 2009
- 416
-
Irradiation effect on dielectric properties of hafnium and gadolinium oxide gate dielectricsGarcía, H. / Dueñas, S. / Castán, H. / Gómez, A. / Bailón, L. / Barquero, R. / Kukli, K. / Ritala, M. / Leskelä, M. et al. | 2009
- 421
-
Implanted and irradiated structure electrical properties at the nanoscalePorti, M. / Nafria, N. / Gerardin, S. / Aymerich, X. / Cester, A. / Paccagnella, A. / Ghidini, G. et al. | 2009
- 426
-
Review on the reliability characterization of plasma-induced damageMartin, Andreas et al. | 2009
- 435
-
Stress-induced leakage current and random telegraph signalTeramoto, Akinobu / Kumagai, Yuki / Abe, Kenichi / Fujisawa, Takafumi / Watabe, Shunichi / Suwa, Tomoyuki / Miyamoto, Naoto / Sugawa, Shigetoshi / Ohmi, Tadahiro et al. | 2009
- 439
-
Investigation of voltage dependent relaxation, charge trapping, and stress induced leakage current effects in gate stacks grown on Ge (100) substratesRahman, M. S. / Evangelou, E. K. / Androulidakis, I. I. / Dimoulas, A. / Mavrou, G. / Tsipas, P. et al. | 2009
- 443
-
Breakdown and degradation of ultrathin Hf-based gate oxide filmsUppal, H. J. / Mitrovic, I. Z. / Hall, S. / Hamilton, B. / Markevich, V. / Peaker, A. R. et al. | 2009
- 448
-
Impact of progressive oxide soft breakdown on metal oxide semiconductor parameters: Experiment and modelingGerrer, L. / Ribes, G. / Ghibaudo, G. / Jomaah, J. et al. | 2009
- 453
-
Progressive degradation of and gate stack triple gate SOI nFinFETs subjected to electrical stressRafí, J. M. / Simoen, E. / Mercha, A. / Collaert, N. / Campabadal, F. / Claeys, C. et al. | 2009
- 459
-
Investigation of Bias-Temperature Instability in work-function-tuned high-/metal-gate stacksKaczer, B. / Veloso, A. / Roussel, Ph. J. / Grasser, T. / Groeseneken, G. et al. | 2009
- 463
-
Impact of nitridation on recoverable and permanent negative bias temperature instability degradation in high-/metal-gate -type metal oxide semiconductor field effect transistorsAoulaiche, M. / Kaczer, B. / Roussel, Ph. J. / O’Connor, R. / Houssa, M. / De Gendt, S. / Maes, H. E. / Groeseneken, G. et al. | 2009
- 468
-
Hot carrier degradation in fin shaped field effect transistor with different substrate orientationsYoung, Chadwin D. / Yang, Ji-Woon / Matthews, Kenneth / Suthram, Sagar / Hussain, Muhammad Mustafa / Bersuker, Gennadi / Smith, Casey / Harris, Rusty / Choi, Rino / Lee, Byoung Hun et al. | 2009
- 472
-
interfacial layer as the origin of the breakdown of high- dielectrics stacksRafik, M. / Ribes, G. / Roy, D. / Ghibaudd, G. et al. | 2009
- 476
-
stack with enhanced reliabilityLisiansky, M. / Fenigstein, A. / Heiman, A. / Raskin, Y. / Roizin, Y. / Bartholomew, L. / Owyang, J. / Gladkikh, A. / Brener, R. / Geppert, I. et al. | 2009
- 482
-
Suppression of parasitic electron injection in silicon-oxide-nitride-oxide-silicon-type memory cells using high- capping layersErlbacher, T. / Graf, T. / DasGupta, N. / Bauer, A. J. / Ryssel, H. et al. | 2009
- 486
-
Contact etch stop layer: A key factor for single polysilicon flash memory data retentiona)Beylier, G. / Benoit, D. / Mora, P. / Bruyère, S. / Ghibaudo, G. et al. | 2009
- 486
-
Contact etch stop a-SixNy:H layer: a key factor for single polysilicon flash memory data retentionBeylier, G. / Benoit, D. / Mora, P. / Bruyere, S. / Ghibaudo, G. et al. | 2009
- 490
-
On the impact of silicon nitride technology on charge trap NAND memoriesSebastiani, A. / Scozzari, C. / Mauri, A. / Modelli, A. / Albini, G. / Piagge, R. / Bacciaglia, P. / Del Vitto, A. / Alessandri, M. / Grossi, A. et al. | 2009
- 494
-
Application of plasma enhanced chemical vapor deposition silicon oxynitride layers in nonvolatile semiconductor memory devicesMroczyński, Robert / Beck, Romuald B. et al. | 2009
- 498
-
Ferroelectric nanostructuresVrejoiu, Ionela / Alexe, Marin / Hesse, Dietrich / Gösele, Ulrich et al. | 2009
- 504
-
Electrical investigations on metal/ferroelectric/insulator/semiconductor structures using poly[vinylidene fluoride trifluoroethylene] as ferroelectric layer for organic nonvolatile memory applicationsHenkel, K. / Lazareva, I. / Mandal, D. / Paloumpa, I. / Müller, K. / Koval, Y. / Müller, P. / Schmeißer, D. et al. | 2009
- 508
-
Interface states formation in a localized charge trapping nonvolatile memory deviceShapira, Asia / Shur, Yael / Shacham-Diamand, Yosi / Shappir, Assaf / Eitan, Boaz et al. | 2009
- 512
-
Peculiar characteristics of nanocrystal memory cells programming windowGasperin, Alberto / Amat, Esteve / Martin, Javier / Porti, Marc / Nafria, Montserrat / Paccagnella, Alessandro et al. | 2009
- 517
-
Low- dielectrics for trench isolation in nanoscaled complementary metal oxide semiconductor imagersIrrera, F. / Puzzilli, G. / Ricci, L. / Russo, F. / Stirpe, F. et al. | 2009
- 521
-
Improved characterization of Fourier transform infrared spectra analysis for post-etched ultra-low- kappa SiOCH dielectric using chemometric methodsOszinda, T. / Beyer, V. / Schaller, M. / Fischer, D. / Bartsch, C. / Schulz, S.E. et al. | 2009
- 521
-
Improved characterization of Fourier transform infrared spectra analysis for post-etched ultra-low- SiOCH dielectric using chemometric methodsOszinda, Thomas / Beyer, Volkhard / Schaller, Matthias / Fischer, Daniel / Bartsch, Christin / Schulz, Stefan E. et al. | 2009
- 527
-
Study on the characteristics of toluene-tetraethoxysilane hybrid plasma-polymer thin filmsCho, S.-J. / Bae, I.-S. / Lee, S. / Jung, D. / Choi, W. S. / Boo, J.-H. et al. | 2009
- 531
-
Photoluminescence from high-pressure-annealed silicon dioxideWong, C. K. / Misiuk, A. / Wong, Hei / Panas, A. et al. | 2009
- 535
-
Light emission and photoluminescence from high- dielectrics containing Ge nanocrystalsChang, Shu-Tong / Liao, Shu-Hui et al. | 2009
- 538
-
Gas sensing materials based on thin filmsIftimie, Nicoleta / Luca, D. / Lacomi, Felicia / Girtan, Mihaela / Mardare, Diana et al. | 2009
- 542
-
Mechanical properties of anodic aluminum oxide for microelectromechanical system applicationsMoreno-Hagelsieb, L. / Flandre, D. / Raskin, J.-P. et al. | 2009
- 547
-
Initial oxidation of Si(110) as studied by real-time synchrotron-radiation x-ray photomission spectroscopySuemitsu, M. / Yamamoto, Y. / Togashi, H. / Enta, Y. / Yoshigoe, A. / Teraoka, Y. et al. | 2009
- 557
-
Thermionic electron emission from chemical vapor deposition diamond by nanosecond laser heatingChoi, B. K. / Kang, W. P. / Davis, I. L. / Davidson, J. L. / Hu, S. T. / Pitz, R. W. et al. | 2009
- 562
-
Electron emission from ultralarge area metal-oxide-semiconductor electron emittersThomsen, Lasse B. / Nielsen, Gunver / Vendelbo, Søren B. / Johansson, Martin / Hansen, Ole / Chorkendorff, Ib et al. | 2009
- 568
-
Fabrication of annular photonic crystals by atomic layer deposition and sacrificial etchingFeng, Junbo / Chen, Yao / Blair, John / Kurt, Hamza / Hao, Ran / Citrin, D. S. / Summers, Christopher J. / Zhou, Zhiping et al. | 2009
- 573
-
Step and flash imprint lithography for manufacturing patterned mediaSchmid, Gerard M. / Miller, Mike / Brooks, Cynthia / Khusnatdinov, Niyaz / LaBrake, Dwayne / Resnick, Douglas J. / Sreenivasan, S. V. / Gauzner, Gene / Lee, Kim / Kuo, David et al. | 2009
- 581
-
Improved etch resistance of ZEP 520A in reactive ion etching through heat and ultraviolet light treatmentCzaplewski, David A. / Tallant, David R. / Patrizi, Gary A. / Wendt, Joel R. / Montoya, Bertha et al. | 2009
- 585
-
Dependence of fiber texture on composition in metal-insulator composite thin filmsFiloti, D. I. / Brown, A. M. / Carlson, D. / Harper, J. M. E. et al. | 2009
- 590
-
Impact of slip and contact angle on imprinting pressure in nanoimprint lithographyLee, Young Hoon / Sin, Hyo-Chol / Kim, Nam Woong et al. | 2009
- 597
-
Amorphization of Si using cluster ionsRomano, Lucia / Jones, Kevin S. / Sekar, Karuppanan / Krull, Wade A. et al. | 2009
- 601
-
Improved performance of pentacene field-effect transistors using a nanocomposite gate dielectricLee, Wen-Hsi / Wang, C. C. / Ho, J. C. et al. | 2009
- 606
-
high-electron mobility transistor with channel grown by metal-organic chemical vapor depositionLin, Yu-Shyan / Chen, Bo-Yuan et al. | 2009
- 612
-
Indium oxides by reactive ion beam assisted evaporation: From material study to device applicationWang, Kai / Vygranenko, Yuriy / Chaji, Reza / Nathan, Arokia et al. | 2009
- 618
-
Enhanced ultraviolet electroluminescence from nanorod array heterojunctionSun, Minghua / Zhang, Qi-Feng / Sun, Hui / Zhang, Junyan / Wu, Jin-Lei et al. | 2009
- 622
-
Transfer characteristics and bias-stress stability of amorphous indium zinc oxide thin-film transistorsChoi, Jun Hyuk / Han, Un Bin / Lee, Ki Chang / Lee, Joon-Hyung / Kim, Jeong-Joo / Cho, In-Tak / Lee, Jong-Ho / Heo, Young-Woo et al. | 2009
- 626
-
Double layer-coated carbon nanotubes: Field emission and secondary-electron emission properties under presence of intense electric fieldLee, Jungwoo / Park, Jaehong / Sim, Kijo / Yi, Whikun et al. | 2009
- 631
-
Development of plasma-enhanced atomic layer deposition grown Ru–WCN mixed phase films for nanoscale diffusion barrier and copper direct-plate applicationsGreenslit, D. / Chakraborty, T. / Eisenbraun, E. et al. | 2009
- 637
-
Passivation of GaAs by octadecanethiol self-assembled monolayers deposited from liquid and vapor phasesBudz, H. A. / Biesinger, M. C. / LaPierre, R. R. et al. | 2009
- 649
-
Reduction effect of line edge roughness on time-dependent dielectric breakdown lifetime of Cu/low- interconnects by using etchingSoda, Eiichi / Oda, Noriaki / Ito, Sanae / Kondo, Seiichi / Saito, Shuichi / Samukawa, Seiji et al. | 2009
- 654
-
Quantification of outgassing of C-, Si-, and S-containing products during exposure of photoresistsHoule, F. A. / Maxim, N. / Huijbregtse, J. / Deline, V. R. / Truong, H. / van Schaik, W. et al. | 2009
- 665
-
Do not always blame the photons: Relationships between deprotection blur, line-edge roughness, and shot noise in extreme ultraviolet photoresistsAnderson, Christopher N. / Naulleau, Patrick P. et al. | 2009
- 671
-
Deposition of tungsten oxynitride nanowires through simple evaporation and subsequent annealingJeon, Seongho / Kim, Hyeyoung / Yong, Kijung et al. | 2009
- 677
-
Mesa sample preparation for secondary ion mass spectrometry depth profiling using an automated dicing sawGuenther, T. / Jiang, Z. X. / Kim, K. / Sieloff, D. D. et al. | 2009
- 681
-
Dry etching of GaAs in high pressure, capacitively coupled BCI~3/N~2 plasmasLee, J.W. / Kim, J.K. / Lee, J.H. / Joo, Y.W. / Park, Y.H. / Noh, H.S. / Pearton, S.J. et al. | 2009
- 681
-
Dry etching of GaAs in high pressure, capacitively coupled plasmasLee, J. W. / Kim, J. K. / Lee, J. H. / Joo, Y. W. / Park, Y. H. / Noh, H. S. / Pearton, S. J. et al. | 2009
- 686
-
PrefaceDziuban, Jan A. et al. | 2009
- 687
-
Investigation of the reentrant linear magnetron using particle-in-cell simulationKim, Jung-Il / Jeon, Seok-Gy / Jin, Yun-Sik / Kim, Geun-Ju / Kim, Dae-Ho / Jung, Sun-Shin et al. | 2009
- 692
-
Theoretical analysis of the energy exchange and cooling in field emission from the conduction band of the -type semiconductorChung, M. S. / Jang, Y. J. / Mayer, A. / Weiss, B. L. / Miskovsky, N. M. / Cutler, P. H. et al. | 2009
- 698
-
Studies of W(100) modified by praseodymium oxide by using x-ray photoelectron spectroscopy, low-energy electron diffraction, and photoelectron emission microscopyKawakubo, Takashi / Nakano, Yusuke / Nakane, Hideaki et al. | 2009
- 701
-
Emission and focusing characteristics of volcano-structured double-gated field emitter arraysNeo, Yoichiro / Takeda, Masafumi / Soda, Takashi / Nagao, Masayoshi / Yoshida, Tomoya / Kanemaru, Seigo / Sakai, Toshikatsu / Hagiwara, Kei / Saito, Nobuo / Aoki, Toru et al. | 2009
- 705
-
Field emission microscopy study of zinc oxide nanowires on tungsten tipZhang, Xianxiang / Zhang, Gengmin / Bai, Xin / Zhao, Xingyu / Xiao, Jing / Wu, Yue / Lu, Fengyuan / Guo, Dengzhu et al. | 2009
- 711
-
Background analysis of field-induced electron emission from nanometer-scale heterostructured emittersFilip, Valeriu / Nicolaescu, Dan / Fulga, Ion Cosma / Mitran, Tudor / Wong, Hei et al. | 2009
- 719
-
Work function of W(100) field emitter modified with lutetium oxide and measured with photoemission electron microscopeNakane, Hideaki / Nakano, Yusuke / Kawakubo, Takashi et al. | 2009
- 721
-
Superposition of fringelike-electron-emission pattern from radical-oxygen-gas exposed Pt field emitter fabricated by electron-beam-induced depositionMurakami, K. / Nishihara, S. / Matsubara, N. / Ichikawa, S. / Wakaya, F. / Takai, M. et al. | 2009
- 725
-
CdTe x-ray image sensor using a field emitter arrayNakagawa, Masashi / Hanawa, Yuichiro / Sakata, Takuya / Morii, Hisashi / Nagao, Masayoshi / Yoshida, Tomoya / Kanemaru, Seigo / Neo, Yoichiro / Aoki, Toru / Mimura, Hidenori et al. | 2009
- 729
-
Simple fabrication of a gated field-electron emitter with a vertical thin film formed by ion-beam irradiationYoshida, T. / Nagao, M. / Baba, A. / Asano, T. / Kanemaru, S. et al. | 2009
- 735
-
Enhanced output current density of an active-matrix high-efficiency electron emission device array withNakada, Tomonari / Sato, Takanobu / Matsuba, Yohei / Tanaka, Ryota / Sakemura, Kazuto / Negishi, Nobuyasu / Okuda, Yoshiyuki / Watanabe, Atsushi / Yoshikawa, Takamasa / Ogasawara, Kiyohide et al. | 2009
- 735
-
Enhanced output current density of an active-matrix high-efficiency electron emission device array with 13.75 micrometer pixelsNakada, T. / Sato, T. / Matsuba, Y. / Tanaka, R. / Sakemura, K. / Negishi, N. / Okuda, Y. / Watanabe, A. / Yoshikawa, T. / Ogasawara, K. et al. | 2009
- 740
-
Design and fabrication of an ultrahigh-luminance field-emission displayNagao, M. / Yoshida, T. / Yasumuro, C. / Nakamura, K. / Marushima, Y. / Taniguchi, M. / Itoh, S. / Kanemaru, S. et al. | 2009
- 744
-
Stable electron emission from BCN/carbon nanotube field emitterKimura, C. / Kawai, S. / Takizawa, K. / Horikawa, Y. / Aoki, H. / Sugino, T. et al. | 2009
- 744
-
Stable electron emission from BCN/carbon nanotube field emittera)Kimura, Chiharu / Kawai, Satoshi / Takizawa, Katsuo / Horikawa, Yuuichi / Aoki, Hidemitsu / Sugino, Takashi et al. | 2009
- 749
-
Comparison of field-electron emission from different carbon nanotube array structuresHong, Nguyen Tuan / Koh, Ken Ha / Lee, Soonil / Minh, Phan Ngoc / Tam, Ngo Thi Thanh / Khoi, Phan Hong et al. | 2009
- 753
-
Field-electron emission from flexible carbon nanotube array cathodesHong, Nguyen Tuan / Yong, Kim Sang / Koh, Ken Ha / Lee, Soonil / Tam, Ngo Thi Thanh / Minh, Phan Ngoc / Khoi, Phan Hong et al. | 2009
- 757
-
Field-emission light sources utilizing carbon nanotubes and composite phosphor made of nanospheres covered withCichy, Bartłomiej / Górecka-Drzazga, Anna / Dziuban, Jan A. et al. | 2009
- 761
-
Effect of aging on field emission lifetime for carbon nanotube cathodesOki, H. / Kinoshita, A. / Takikawa, T. / Kim, W. S. / Murakami, K. / Abo, S. / Wakaya, F. / Takai, M. et al. | 2009
- 766
-
Field emission pattern of carbon nanotubes and phase distribution of wave function in the end cap structurePeng, J / Edgcombe, C. J. et al. | 2009
- 772
-
Vacuum-ultraviolet light emission from xenon directly excited by ballistic output electrons of nanocrystalline silicon planar cathodeIchihara, Tsutomu / Hatai, Takashi / Koshida, Nobuyoshi et al. | 2009
- 775
-
Field enhanced surface treatment of needle-shaped cathode for improvement in field emissionFukuyama, C. / Murakami, K. / Abo, S. / Wakaya, F. / Takai, M. / Takimoto, T. / Kumashiro, Y. / Takaoka, Y. et al. | 2009
- 785
-
Visualization of bias-dependent potential barriers using scanning gate microscopy in copper-phthalocyanine field-effect transistorAoki, N. / Sudou, K. / Matsusaki, K. / Ochiai, Y. et al. | 2009
- 789
-
Nanoscale current transport through Schottky contacts on wide bandgap semiconductorsGiannazzo, F. / Roccaforte, F. / Iucolano, F. / Raineri, V. / Ruffino, F. / Grimaldi, M. G. et al. | 2009
- 795
-
Formation of single electron transistors in single-walled carbon nanotubes with low energy Ar ion irradiation techniqueMori, Takahiro / Sato, Shunsuke / Omura, Kazuo / Yajima, Shota / Tsuruoka, Yasuhiro / Achiba, Yohji / Ishibashi, Koji et al. | 2009
- 799
-
Adsorption and conformation of porphyrins on metallic surfacesBrede, Jens / Linares, Mathieu / Lensen, Roy / Rowan, Alan E. / Funk, Markus / Bröring, Martin / Hoffmann, Germar / Wiesendanger, Roland et al. | 2009
- 805
-
Control of channel resistance on metal nanowires by electromigration patterning methodTakahashi, Keisuke / Tomoda, Yusuke / Itami, Soichiro / Shirakashi, Jun-ichi et al. | 2009
- 810
-
First-principles calculation of electron transport in Si atom wireKusaka, Hiroyuki / Kobayashi, Nobuhiko et al. | 2009
- 813
-
Fabrication of nanogap electrodes by field-emission-induced electromigrationTomoda, Yusuke / Takahashi, Keisuke / Hanada, Michinobu / Kume, Watari / Shirakashi, Jun-ichi et al. | 2009
- 817
-
Molecular conductance switching via controlled alteration of electron delocalization: Quinone-modified oligo(phenylenevinylene)Tsoi, S. / Griva, I. / Trammell, S. A. / Blum, A. S. / Schnur, J. M. / Lebedev, N. et al. | 2009
- 821
-
Toward surround gates on vertical single-walled carbon nanotube devicesFranklin, Aaron D. / Sayer, Robert A. / Sands, Timothy D. / Fisher, Timothy S. / Janes, David B. et al. | 2009
- 827
-
Strain distributions in lattice-mismatched semiconductor core-shell nanowiresSøndergaard, Niels / He, Yuhui / Fan, Chun / Han, Ruqi / Guhr, Thomas / Xu, H. Q. et al. | 2009
- 831
-
Self-assembled organic nanowires: A structural and electronic studySimmonds, Henje / Bennett, Neil / Elliott, Martin / Macdonald, Emyr et al. | 2009
- 836
-
Photoluminescence of bioconjugated core-shell quantum dotsTorchynska, T. V. / Douda, J. / Calva, P. A. / Ostapenko, S. S. / Sierra, R. Peña et al. | 2009
- 839
-
Visualizing the surface structure of immunochromatography test strips using dynamic force microscopyYarkov, S. P. / Shilenko, I. V. / Valiev, H. H. / Karnet, Yu. N. / Kovalev, G. N. / Snegireva, N. S. / Yanovsky, Yu. G. / Bogdanov, E. V. et al. | 2009
- 842
-
-dependent conductance behaviors of layer-by-layer self-assembled carboxylated carbon nanotube multilayer thin-film sensorsLee, Dongjin / Cui, Tianhong et al. | 2009
- 849
-
X-ray diffraction and electron paramagnetic resonance study of porousTorchynska, T. V. / Bratus, V. / Gomez, J. Palacios et al. | 2009
- 854
-
Diameter dependence of the interactions between single-walled carbon nanotubes and Ti(0001) surfaceYadao David, Melanie / Kasai, Koichiro / Nakanishi, Hiroshi / Kasai, Hideaki et al. | 2009
- 858
-
Soft processing for formation of self-assembled monolayer on hydrogen-terminated silicon surface based on visible-light excitationSano, Hikaru / Yaku, Tomohiro / Ichii, Takashi / Murase, Kuniaki / Sugimura, Hiroyuki et al. | 2009
- 863
-
Ordered structures of pentacene on Cu(110)Martínez-Blanco, J. / Ruiz-Osés, M. / Joco, V. / Sayago, D. I. / Segovia, P. / Michel, E. G. et al. | 2009
- 868
-
Dielectric thickness dependence of capacitive behavior in graphene deposited on silicon dioxideSonde, S. / Giannazzo, F. / Raineri, V. / Rimini, E. et al. | 2009
- 874
-
Nanostructure fabrication on an Al surface by chemical and electrochemical multiprocess and nanoscale molecular patterning on the nanostructured Al surfaceWatanabe, Y. / Kato, H. / Takemura, S. / Kusama, S. / Iimura, G. / Sugiyama, T. / Hiramatsu, T. / Nanba, N. / Nishikawa, O. / Taniguchi, M. et al. | 2009
- 882
-
Quantum electron transport through carbon nanotubes with electron-phonon couplingIshii, Hiroyuki / Kobayashi, Nobuhiko / Hirose, Kenji et al. | 2009
- 887
-
Tunneling spectroscopy of ultrathin insulating films, and single Co adatomsChoi, T. / Ruggiero, C. D. / Gupta, J. A. et al. | 2009
- 891
-
Low temperature scanning tunneling microscopy wave-function imaging of cleaved quantum dots with similar heightGirard, J. C. / Lemaître, A. / Miard, A. / David, C. / Wang, Z. Z. et al. | 2009
- 895
-
Spectroscopic scanning tunnel microscopy of Cl-Si(111)7x7: Determination of Cl-Si delta ^* resonance line shapeLiu, W. / Horn, S. / Maraghechi, P. / Patitsas, S.N. et al. | 2009
- 895
-
Spectroscopic scanning tunnel microscopy of Cl-Si(111)7 x 7: Determination of Cl-Si sigma * resonance line shapeLiu, Weiming / Horn, S. / Maraghechi, P. / Patitsas, S.N. et al. | 2009
- 895
-
Spectroscopic scanning tunnel microscopy of : Determination of Cl–Si resonance line shapeLiu, Weiming / Horn, Steven / Maraghechi, Pouya / Patitsas, S. N. et al. | 2009
- 903
-
Topography and surface potential in Kelvin force microscopy of perfluoroalkyl alkanes self-assembliesAlexander, John / Magonov, Sergei / Moeller, Martin et al. | 2009
- 912
-
X-ray investigation of buried SiGe islands for devices with strain-enhanced mobilityHrauda, N. / Zhang, J. J. / Stangl, J. / Rehman-Khan, A. / Bauer, G. / Stoffel, M. / Schmidt, O. G. / Jovanovich, V. / Nanver, L. K. et al. | 2009
- 919
-
Photoluminescence variation in dot-in-a-well structures with different InAs quantum dot densitiesTorchynska, T. V. / Velazquez Lozada, E. / Casas Espinola, J. L. et al. | 2009
- 923
-
Fabrication of metallic nanoporous films by dealloyingYeh, W. J. / Chava, Shilpa et al. | 2009
- 928
-
Scanning probe anodization patterning of Si substrates covered with a self-assembled monolayer dependent on surface hydrophilicityHan, Jiwon / Kasahara, Daiji / Ichii, Takashi / Murase, Kuniaki / Sugimura, Hiroyuki et al. | 2009
- 934
-
Direct-to-indirect transition observed in quantum dot photoluminescence with nanoprobe indentationOzasa, Kazunari / Maeda, Mizuo / Hara, Masahiko / Kakoi, Hiroki / Xu, Lixia / Liang, Yuan-Hua / Arai, Yoshio et al. | 2009
- 939
-
Incorporation of DNA networks into microelectrode structuresErler, Christiane / Mertig, Michael et al. | 2009
- 944
-
Scanning probe microscopy investigation of nanostructured surfaces induced by swift heavy ionsAkcöltekin, Sevilay / Akcöltekin, Ender / Schleberger, Marika / Lebius, Henning et al. | 2009
- 948
-
Improvement of scanning probe microscopy local oxidation nanolithographyNishimura, Shinya / Toyofuku, Takashi / Miyashita, Kazuya / Takemura, Yasushi / Shirakashi, Jun-ichi et al. | 2009
- 953
-
Nanoscale patterning of NiFe surface by scanning probe microscopy scratch nanolithographyMiyashita, Kazuya / Nishimura, Shinya / Toyofuku, Takashi / Shirakashi, Jun-ichi et al. | 2009
- 958
-
Flexural-torsional resonance mode of a chip cantilever system: Applications to nanomachiningVoigt, Felix / Krohs, Florian / Gerbach, Ronny et al. | 2009
- 964
-
Photothermal excitation of a single-crystalline silicon cantilever for higher vibration modes in liquidNishida, Shuhei / Kobayashi, Dai / Kawakatsu, Hideki / Nishimori, Yuki et al. | 2009
- 969
-
Frequency dependent Kelvin probe force microscopy on silicon surfacesMüller, F. / Müller, A.-D. et al. | 2009
- 975
-
Wafer-scale production of carbon nanofiber probesKitazawa, Masashi / Ohta, Ryo / Sugita, Yoshitaka / Inaba, Kazuhisa / Tanemura, Masaki et al. | 2009
- 980
-
Application of ion-induced carbon nanocomposite fibers to magnetic force microscope probesSugita, Yoshitaka / Kitazawa, Masashi / Zamri M. Yusop, M. / Tanemura, Masaki / Hayashi, Yasuhiko / Ohta, Ryo et al. | 2009
- 984
-
Parametrization of atomic force microscopy tip shape models for quantitative nanomechanical measurementsBelikov, Sergey / Erina, Natalia / Huang, Lin / Su, Chanmin / Prater, Craig / Magonov, Sergei / Ginzburg, Valeriy / McIntyre, Bob / Lakrout, Hamed / Meyers, Gregory et al. | 2009
- 993
-
Calculation of plasmon enhanced molecular fluorescence in scanning tunnel microscopy using effective medium model for molecules on metal substrateNishitani, R. / Liu, H. W. / Iwasaki, H. et al. | 2009
- 997
-
Strain imaging of a magnetic layer formed on an air bearing surface of a hard disk drive head for perpendicular recordingTakata, Keiji et al. | 2009
- 1001
-
Noncontact lateral-force gradient measurement on Si(111)-7x7 surface with small-amplitude off-resonance atomic force microscopyAtabak, M. / Unverdi, O. / Ozer, H.O. / Oral, A. et al. | 2009
- 1001
-
Noncontact lateral-force gradient measurement on surface with small-amplitude off-resonance atomic force microscopyAtabak, Mehrdad / Ünverdi, Özhan / Özer, H. Özgür / Oral, Ahmet et al. | 2009
- 1006
-
Imaging capability of pseudomorphic high electron mobility transistors, AlGaN/GaN, and Si micro-Hall probes for scanning Hall probe microscopy between 25 and 125 ^oCAkram, R. / Dede, M. / Oral, A. et al. | 2009
- 1006
-
Imaging capability of pseudomorphic high electron mobility transistors, AlGaN/GaN, and Si micro-Hall probes for scanning Hall probe microscopy between 25 and 125 deg CAkram, R. / Dede, M. / Oral, A. et al. | 2009
- 1006
-
Imaging capability of pseudomorphic high electron mobility transistors, , and Si micro-Hall probes for scanning Hall probe microscopy between 25 andAkram, R. / Dede, M. / Oral, A. et al. | 2009
- 1011
-
Single ferroelectric domain nucleation and growth monitored by high speed piezoforce microscopyPolomoff, Nicholas A. / Nath, Ramesh / Bosse, James L. / Huey, Bryan D. et al. | 2009
- 1021
-
Interface stability in advanced high--metal-gate stacksa)Adelmann, C. / Franquet, A. / Conard, T. / Witters, T. / Ferain, I. / Meersschaut, J. / Jurczak, M. / De Meyer, K. / Kittl, J. A. / Van Elshocht, S. et al. | 2009
- 1021
-
Interface stability in advanced high- kappa -metal-gate stacksAdelmann, C. / Franquet, A. / Conard, T. / Witters, T. / Ferain, I. / Meersschaut, J. / Jurczak, M. / De Meyer, K. / Kittl, J.A. / Van Elshocht, S. et al. | 2009
- 1026
-
Electrical properties of metal-ferroelectric (PbZr~0~.~5~3Ti~0~.~4~7O~3)-polysilicon-insulator (Y~2O~3)-silicon capacitors and field-effect transistorsChan, P.-C. / Shih, W.-C. / Chang, I.Y.-K. / Lee, J.Y.-m. et al. | 2009
- 1026
-
Electrical properties of metal-ferroelectric -polysilicon-insulator -silicon capacitors and field-effect transistorsa)Chan, Po-Chin / Shih, Wen-Chieh / Chang, Ingram Yin-Ku / Lee, Joseph Ya-min et al. | 2009
- 1030
-
Electrical levels of defect investigation of thin film by spectroscopic ellipsometryChen, Y. R. / Zhou, P. / Li, J. / Chen, L. Y. et al. | 2009
- 1035
-
Tunable optical filters with nanostructured suspended gratingsYe, Jia-Sheng / Kobayashi, Takashi / Kanamori, Yoshiaki / Hu, Fang-Ren / Hane, Kazuhiro et al. | 2009
- 1039
-
Contrast reversal effect in scanning electron microscopy due to chargingAbe, H. / Babin, S. / Borisov, S. / Hamaguchi, A. / Kadowaki, M. / Miyano, Y. / Yamazaki, Y. et al. | 2009
- 1039
-
Contrast reversal effect in scanning electron microscopy due to charginga)Abe, H. / Babin, S. / Borisov, S. / Hamaguchi, A. / Kadowaki, M. / Miyano, Y. / Yamazaki, Y. et al. | 2009
- 1043
-
Large-scale assembly of periodic nanostructures with metastable square latticesSun, Chih-Hung / Min, Wei-Lun / Linn, Nicholas C. / Jiang, Peng / Jiang, Bin et al. | 2009
- 1048
-
Laser micromachining of optical microstructures with inclined sidewall profileWang, X. H. / Lai, P. T. / Choi, H. W. et al. | 2009
- 1053
-
Field-emission properties of multiwalled carbon nanotubes in gasZou, Q. / Wang, M. Z. et al. | 2009
- 1057
-
Isolation of organic field-effect transistors by surface patterning with an UV/ozone processKim, Sung-Jin / Beveridge, Henry / Koberstein, Jeffrey T. / Kymissis, Ioannis et al. | 2009
- 1060
-
Protein patterning by thermal nanoimprint lithography and -plasma functionalization of polystyreneTrabadelo, V. / Retolaza, A. / Merino, S. / Cruz, A. / Heredia, P. / Foelske, A. / Schift, H. / Padeste, C. et al. | 2009
- 1063
-
Enhanced surface blistering of germanium with coimplantationMa, Xiaobo / Du, Xiaofeng / Liu, Weili / Chen, Chao / Song, Zhitang / Lin, Chenglu et al. | 2009
- 1068
-
Improved field emission via laser processing of carbon nanotubes on paper substratesLyth, S. M. / Henley, S. J. / Silva, S. R. P. et al. | 2009
- 1072
-
Topography of epitaxial GaAs surfaces for growthLehman, S. Y. / Roshko, A. / Mirin, R. P. / Bertness, K. A. / Harvey, T. E. / Cobry, K. D. et al. | 2009
- 1080
-
Fabrication and characterization of the substrate-free InGaN-based resonant-cavity light-emitting diodes for plastic optical fiber communicationsTsai, Chia-Lung / Lin, Jia-Qing / Huang, Ju-Ping et al. | 2009
- 1086
-
Carbon nanotube array vias for interconnect applicationsTing, Jyh-Hua / Chiu, Ching-Chieh / Huang, Fuang-Yuan et al. | 2009
- 1093
-
Inductively coupled plasma etching in fabrication of 2D InP-based photonic crystalsWang, Hailing / Xing, Mingxin / Ren, Gang / Zheng, Wanhua et al. | 2009
- 1097
-
Novel tapered macrogate structure for carbon nanotube based field emission displayJeong, Jin-Woo / Kim, Dae-Jun / Cho, Kyung-Ik / Song, Yoon-Ho et al. | 2009
- 1101
-
Aperture-edge scattering in MeV ion-beam lithography. I. Scattering from a straight Ta aperture edgeGorelick, S. / Sajavaara, T. / Whitlow, Harry J. et al. | 2009
- 1109
-
Aperture-edge scattering in MeV ion-beam lithography. II. Scattering from a rectangular apertureGorelick, S. / Sajavaara, T. / Whitlow, Harry J. et al. | 2009
- 1116
-
Effect of nanocomposite gate dielectric roughness on pentacene field-effect transistorLee, Wen-Hsi / Wang, C. C. et al. | 2009
- 1122
-
Stochastic approach to modeling photoresist developmentMack, C. et al. | 2009
- 1122
-
Stochastic approach to modeling photoresist developmenta)Mack, Chris et al. | 2009
- 1129
-
dc and low frequency noise analysis of Fowler-Nordheim stress of n-channel metal-oxide semiconductor field-effect transistors processed in a 65 nm technologyArmand, J. / Martinez, F. / Benoit, P. / Valenza, M. / Vincent, E. / Huard, V. / Rochereau, K. et al. | 2009
- 1129
-
dc and low frequency noise analysis of Fowler–Nordheim stress of -channel metal-oxide semiconductor field-effect transistors processed in a technologya)Armand, J. / Martinez, F. / Benoit, P. / Valenza, M. / Vincent, E. / Huard, V. / Rochereau, K. et al. | 2009
- 1132
-
Theory of space charge limited regime of thermionic energy converter with negative electron affinity emitterSmith, Joshua Ryan / Bilbro, Griff L. / Nemanich, Robert J. et al. | 2009
- 1142
-
Study of ion and vacuum ultraviolet-induced effects on styrene- and ester-based polymers exposed to argon plasmaBruce, R. L. / Engelmann, S. / Lin, T. / Kwon, T. / Phaneuf, R. J. / Oehrlein, G. S. / Long, B. K. / Willson, C. G. / Végh, J. J. / Nest, D. et al. | 2009
- 1156
-
Fabrication of crystalline Si spheres with atomic-scale surface smoothness using homogenized KrF excimer laser reformation systemHung, Shih-Che / Shiu, Shu-Chia / Chao, Cha-Hsin / Lin, Ching-Fuh et al. | 2009
- 1161
-
Low-resistance, highly transparent, and thermally stable Ti/ITO Ohmic contacts toGuo, H. / Brown, K. / Korakakis, D. / Cao, X. A. et al. | 2009
- 1165
-
Dependence of photoresist surface modifications during plasma-based pattern transfer on choice of feedgas composition: Comparison of - and -based dischargesEngelmann, S. / Bruce, R. L. / Weilnboeck, F. / Sumiya, M. / Kwon, T. / Phaneuf, R. / Oehrlein, G. S. / Andes, C. / Graves, D. / Nest, D. et al. | 2009
- 1180
-
Ion beam sputtering of films on thermoplast substrates as waveguides for biosensorsKulisch, W. / Gilliland, D. / Ceccone, G. / Sirghi, L. / Rauscher, H. / Gibson, P. N. / Zürn, M. / Bretagnol, F. / Rossi, F. et al. | 2009
- 1191
-
Thermal imprint into thin polymer layers below the critical molecular weighta)Bogdanski, Nicolas / Wissen, Matthias / Möllenbeck, Saskia / Scheer, Hella-Christin et al. | 2009
- 1191
-
Thermal imprint into thin polymer layers below the critical molecular weightBogdanski, N. / Wissen, M. / Mollenbeck, S. / Scheer, H.-C. et al. | 2009
- 1195
-
Commercial molecular beam epitaxy production of high quality on large diameter Si substratesGu, X. / Lubyshev, D. / Batzel, J. / Fastenau, J. M. / Liu, W. K. / Pelzel, R. / Magana, J. F. / Ma, Q. / Wang, L. P. / Zhang, P. et al. | 2009
- 1200
-
Use of the concept “area efficiency of emission” in equations describing field emission from large-area electron sourcesForbes, Richard G. et al. | 2009
- 1204
-
Multi- nanowire based gas detectorKang, Sungmu / Battogtokh, Jugdersuren / McKeown, David A. / Buechele, Andrew C. / Pegg, Ian L. / Philip, John et al. | 2009
- 1207
-
3 omega thermal conductivity measurements of thin film dielectrics on silicon for use in cantilever-based IR imagingJones, C.D.W. / Pardo, F. / Pai, C.-S. / Bower, J.E. / Miner, J.F. / Klemens, F.P. / Cirelli, R.A. / Ferry, E.J. / Taylor, J.A. / Baker, M.R. et al. | 2009
- 1207
-
thermal conductivity measurements of thin film dielectrics on silicon for use in cantilever-based IR imagingJones, C. D. W. / Pardo, F. / Pai, C.-S. / Bower, J. E. / Miner, J. F. / Klemens, F. P. / Cirelli, R. A. / Ferry, E. J. / Taylor, J. A. / Baker, M. R. et al. | 2009
- 1211
-
Integrated bake/chill system for across-wafer temperature uniformity control in photoresist processingChua, Hui Tong / Tay, Arthur / Wang, Yuheng et al. | 2009
- 1215
-
Dip-pen nanolithography on etched InAs(100) using homogeneous and mixed ink solutionsSlavin, John W. J. / Ivanisevic, Albena et al. | 2009
- 1221
-
Design of a magnetic-assistance superfinish module for freeform machiningPa, P. S. et al. | 2009
- 1226
-
Fast tool servo control for diamond-cutting microstructured optical componentsZhang, H. J. / Chen, S. J. / Zhou, M. / Yang, Y. H. et al. | 2009
- 1230
-
Prediction and simulation of surface topomorphy in ultraprecision milling for optical freeform surfaceLuo, Zhong-Cheng / Peng, Fang-Yu / Chen, Xu-Bing / Wei, Li-Feng et al. | 2009
- 1238
-
Diamond milling of nitrided steels for optical mold makingOsmer, J. / Gläbe, R. / Riemer, O. / Brinksmeier, E. / Bütepage, S. / Hoffmann, F. et al. | 2009
- 1241
-
Enhancing the performance of polycrystalline diamond tools for machining WC by ultrasonic elliptical vibration cutting methodNath, Chandra / Rahman, Mustafizur / Neo, Ken Soon et al. | 2009
- 1247
-
Controlled monolayer self-assembly process based on the atomic force microscopy nanoscratching methodYan, Y. D. / Sun, T. / Pan, B. / Zhao, J. W. / Dong, S. et al. | 2009
- 1251
-
Microelectromechanical systems motion measurement and modal analysis based on Doppler interferometryZhang, G. X. / Zhong, Y. / Hong, X. / Leng, C. L. et al. | 2009
- 1256
-
Impact of channel width and dummy length on performance enhancement in -type metal oxide semiconductor field effect transistor with a silicon-germanium alloy stressorLee, Chang-Chun / Huang, Jacky / Chang, Shu-Tong / Wang, Wei-Ching et al. | 2009
- 1261
-
Carrier backscattering characteristics of nanoscale strained complementary metal-oxide-semiconductor devices featuring the optimal stress engineeringChang, Shu-Tong / Liao, Ming-Han / Lee, Chang-Chun / Huang, Jacky / Wang, Wei-Ching / Hsieh, Bing-Fong et al. | 2009
- 1267
-
Design and optimization of wafer bonding packaged microelectromechanical systems thermoelectric power generators with heat dissipation pathLee, Chengkuo / Xie, Jin et al. | 2009
- 1267
-
Design and optimization of water bonding packaged microelectromechanical systems thermoelectric power generators with heat dissipation pathLee, C. / Xie, J. et al. | 2009
- 1272
-
Development of a fine tool servo with force monitoring system for nanomachining applicationsGan, S. W. / Rahman, M. / Lim, H. S. et al. | 2009
- 1278
-
Development of three-axis desktop milling machineWang, Q. Y. / Fang, F. Z. / Hu, X. T. et al. | 2009
- 1285
-
Development of the control system for three-axis ultraprecision compact micromilling machineWang, Bo / Zhang, Peng / Liang, Yingchun / Dong, Shen et al. | 2009
- 1288
-
Study on structure optimization of a piezoelectric cantilever with a proof mass for vibration-powered energy harvesting systemLi, Yanning / Li, Wen / Guo, Tong / Yan, Zhidan / Fu, Xing / Hu, Xiaotang et al. | 2009
- 1291
-
Silicon-on-insulation-based deformable mirror array for adaptive opticsWang, D. J. / Yao, J. / Qiu, C. K. / Hu, F. R. / Fan, T. Q. et al. | 2009
- 1295
-
Development of microfluidic device and system for breast cancer cell fluorescence detectionHe, J. H. / Reboud, J. / Ji, H. M. / Lee, C. / Long, Y. et al. | 2009
- 1299
-
Low-cost x-ray mask based on micropattern sputtered lead film for x-ray lithographyMongpraneet, S. / Wisitsora-at, A. / Phatthanakun, R. / Chomnawang, N. / Tuantranont, A. et al. | 2009
- 1304
-
Controlled morphology of microtools shaped using focused ion beam milling techniqueZhang, S. J. / Fang, F. Z. / Xu, Z. W. / Hu, X. T. et al. | 2009
- 1310
-
Micro-electron-beam welding with a modified scanning electron microscopy: Findings and prospectsReisgen, U. / Dorfmüller, T. et al. | 2009
- 1315
-
Design and calibration method for microvision three-dimensional laser scannerSun, Changku / Liu, Bin / Wang, Peng et al. | 2009
- 1319
-
Realization of nanosecond pulse laser micromachining systemLiu, Chunyang / Fu, Xing / Wu, Yong / Li, Yi / Sun, Fengming / Hu, Xiaotang et al. | 2009
- 1323
-
Development of a system for laser-assisted molding of micro- and nanostructuresMichaeli, Walter / Klaiber, Fritz et al. | 2009
- 1327
-
Hybrid machining of microstructures using a combination of electrical discharge machining milling and laser ablationWeber, Patricia / Haupt, Sebastian / Schulze, Volker et al. | 2009
- 1330
-
Investigation of finish cut of microelectrodischarge milling for nanosurface finishAli, M. Y. / Mehfuz, R. et al. | 2009
- 1335
-
Tool wear study in diamond turning of steelsDai, T. F. / Fang, F. Z. / Hu, X. T. et al. | 2009
- 1340
-
Three-dimensional molecular dynamics modeling of nanocuttingZhang, Z. G. / Fang, F. Z. / Hu, X. T. / Sun, C. K. et al. | 2009
- 1345
-
Diamond cutting of a large off-axis Fresnel lens MoldGuo, Jianqiang / Ohmori, Hitoshi / Uehara, Yoshihiro / Morita, Shinya / Katahira, Kazutoshi et al. | 2009
- 1351
-
Investigation on feedback control of linear motors in ultraprecision-machine feed-drive systemsGuan, Chao-Liang / Dai, Yi-Fan / Xie, Xu-Hui / Yin, Zi-Qiang et al. | 2009
- 1355
-
Molecular dynamics study on various nanometric cutting boundary conditionsZhang, Z. G. / Fang, F. Z. / Hu, X. T. / Sun, C. K. et al. | 2009
- 1361
-
Nanometric ductile cutting characteristics of silicon water using single crystal diamond toolsLiu, K. / Zuo, D. / Li, X.P. / Rahman, M. et al. | 2009
- 1361
-
Nanometric ductile cutting characteristics of silicon wafer using single crystal diamond toolsLiu, Kui / Zuo, Dunwen / Li, X. P. / Rahman, M. et al. | 2009
- 1367
-
Fabrication of cutting tools for ultraprecision machining of tantalum and their cutting characteristicsMizutani, M. / Naruse, T. / Kameyama, Y. / Koma, Y. / Ohmori, H. / Sasaki, C. et al. | 2009
- 1370
-
Morphological observation and adhesive property measurement on human ovary carcinoma cells by atomic force microscopyHan, Yimin / Wang, Jing / Yan, Yongda / Sun, Tao / Shen, Dong et al. | 2009
- 1374
-
Nanoscratch-induced deformation of single crystal siliconWu, Y. Q. / Huang, H. / Zou, J. / Dell, J. M. et al. | 2009
- 1378
-
Nanostructure and optical properties of films prepared by reactive midfrenquency magnetron sputteringCao, Yongzhi / Dong, Shen / Sun, Tao / Yan, Yongda / Zhao, Qingliang et al. | 2009
- 1381
-
Characterization of the Ag/Fe nanocomposite fluidChang, H. / Wu, Y.-C. / Kao, M.-J. / Shieh, T.-J. / Jwo, C.-S. et al. | 2009