In situ observation of the behavior of superoxide dismutase aggregates on a patterned surface via scanning probe microscopy (English)
- New search for: Choi, Inhee
- New search for: Choi, Inhee
- New search for: Kang, Taewook
- New search for: Kang, Sung Koo
- New search for: Lee, Jeongjin
- New search for: Sung, Jung-Joon
- New search for: Kim, Younghun
- New search for: Yi, Jongheop
In:
Microelectronic engineering
;
84
, 5
; 1766-1769
;
2007
-
ISSN:
- Article (Journal) / Print
-
Title:In situ observation of the behavior of superoxide dismutase aggregates on a patterned surface via scanning probe microscopy
-
Contributors:Choi, Inhee ( author ) / Kang, Taewook / Kang, Sung Koo / Lee, Jeongjin / Sung, Jung-Joon / Kim, Younghun / Yi, Jongheop
-
Published in:Microelectronic engineering ; 84, 5 ; 1766-1769
-
Publisher:
- New search for: Elsevier
-
Place of publication:Amsterdam [u.a.]
-
Publication date:2007
-
ISSN:
-
ZDBID:
-
Type of media:Article (Journal)
-
Type of material:Print
-
Language:English
- New search for: 535/5670
-
Keywords:
-
Classification:
-
Source:
Table of contents – Volume 84, Issue 5
The tables of contents are generated automatically and are based on the data records of the individual contributions available in the index of the TIB portal. The display of the Tables of Contents may therefore be incomplete.
- 689
-
PrefaceBausells, Joan / Abadal, Gabriel / Pérez-Murano, Francesc et al. | 2007
- 690
-
Fabrication of hp 32nm resist patterns using near-field lithographyYamaguchi, Takako / Yamada, Tomohiro / Terao, Akira / Ito, Toshiki / Inao, Yasuhisa / Mizutani, Natsuhiko / Kuroda, Ryo et al. | 2007
- 694
-
A 45degree dual dipole decomposition scheme to improve image fidelityBiswas, A. M. / Hiserote, J. A. / Li, J. / Painter, B. / Melvin, L. S. et al. | 2007
- 694
-
A 45° dual dipole decomposition scheme to improve image fidelityBiswas, Abani M. / Hiserote, Jay A. / Li, Jianliang / Painter, Benjamin / Melvin, Lawrence S. III et al. | 2007
- 700
-
20nm Line/space patterns in HSQ fabricated by EUV interference lithographyEkinci, Yasin / Solak, Harun H. / Padeste, Celestino / Gobrecht, Jens / Stoykovich, Mark P. / Nealey, Paul F. et al. | 2007
- 705
-
Near-field lithography as prototype nano-fabrication toolInao, Yasuhisa / Nakasato, Shinji / Kuroda, Ryo / Ohtsu, Motoichi et al. | 2007
- 711
-
Rigorous electromagnetic simulation of mask magnification effects on the diffracted light for EUV binary maskLin, Chun-Hung / Chen, Hsuen-Li / Ko, Fu-Hsiang et al. | 2007
- 716
-
The optical properties of monolayer amorphous Al2O3–TiO2 composite films used as HT-APSM blanks for ArF immersion lithographyLai, Fu-Der / Huang, C.Y. / Ko, Fu-Hsiang et al. | 2007
- 721
-
Table top nanopatterning with extreme ultraviolet laser illuminationCapeluto, M.G. / Wachulak, P. / Marconi, M.C. / Patel, D. / Menoni, C.S. / Rocca, J.J. / Iemmi, C. / Anderson, E.H. / Chao, W. / Attwood, D.T. et al. | 2007
- 725
-
Improvement of model kernel representation in process simulation by taking pattern correlation into accountLi, Jianliang / Yan, Qiliang / Biswas, Abani / Melvin, Lawrence S. III et al. | 2007
- 729
-
Embedded metal mask enhanced evanescent near field optical lithographySefa-Ntiri, B. / Prewett, P.D. et al. | 2007
- 733
-
Simulation of proximity and contact lithographyMeliorisz, Bálint / Evanschitzky, Peter / Erdmann, Andreas et al. | 2007
- 737
-
Flatness characterization of EUV mask chucksKalkowski, Gerhard / Risse, Stefan / Müller, Sandra et al. | 2007
- 741
-
Analysis of the diffraction pattern for optimal assist feature placementBorjon, Amandine / Belledent, Jérôme / Trouiller, Yorick / Gardin, Christian / Couderc, Christophe / Rody, Yves / Sundermann, Frank / Urbani, Jean-Christophe / Foussadier, Franck / Planchot, Jonathan et al. | 2007
- 746
-
Polarized illuminator impact on line edge roughnessVerhappen, Arjan / Kuijten, Jan Pieter / Conley, Will / Chaplin, Martin / Vleuten, Paul van der / Goor, Stephan van der / Litt, Lloyd / Kasprowicz, Bryan et al. | 2007
- 750
-
Fabrication of sub-wavelength antireflective structures in solar cells by utilizing modified illumination and defocus techniques in optical lithographyChen, H.L. / Huang, K.T. / Lin, C.H. / Wang, W.Y. / Fan, Wonder et al. | 2007
- 755
-
Binary mask side lobe suppression using space scattering barSuh, Sungsoo / Lee, Sukjoo / Back, Kyoung-yoon / Lee, Sungwoo / Kim, Youngchang / Kim, Sangwook / Cho, Hanku et al. | 2007
- 761
-
Nanotopography produced by using a vacuum pin chuck and the flattening ability around its peripheryUne, A. / Yoshitomi, K. / Mochida, M. et al. | 2007
- 766
-
Thermal treatment for optical proximity correctionKim, Sang-Kon et al. | 2007
- 770
-
Etch modeling for model-based optical proximity correction for 65nm nodeGardin, Christian / Belledent, Jérôme / Trouiller, Yorick / Borjon, Amandine / Couderc, Christophe / Foussadier, Franck / Yesilada, Emek / Urbani, Jean-Christophe / Sundermann, Frank / Rody, Yves et al. | 2007
- 774
-
High resolution variable-shaped beam direct writeHahmann, Peter / Bettin, Lutz / Boettcher, Monika / Denker, Ulrich / Elster, Thomas / Jahr, Steffen / Kirschstein, Ulf-Carsten / Kliem, Karl-Heinz / Schnabel, Bernd et al. | 2007
- 779
-
Sub-5nm FIB direct patterning of nanodevicesGierak, J. / Madouri, A. / Biance, A.L. / Bourhis, E. / Patriarche, G. / Ulysse, C. / Lucot, D. / Lafosse, X. / Auvray, L. / Bruchhaus, L. et al. | 2007
- 784
-
Reducing the resistivity of electron and ion beam assisted deposited PtLangford, R.M. / Wang, T.-X. / Ozkaya, D. et al. | 2007
- 789
-
Dual-beam focused ion beam (FIB): A prototyping tool for micro and nanofabricationRomano-Rodríguez, Albert / Hernández-Ramírez, Francisco et al. | 2007
- 793
-
Tilt-corrected stitching for electron beam lithographyThoms, S. / Macintyre, D.S. et al. | 2007
- 797
-
Oriented nanometer surface morphologies by thermal relaxation of locally cross-linked and stretched polymer samplesKarade, Yogesh / Graf, Karlheinz / Brünger, Wilhelm H. / Dietzel, Andreas / Berger, Rüdiger et al. | 2007
- 802
-
Nano-dot arrays with a bit pitch and a track pitch of 25nm formed by EB writing for 1Tb/in2 storageHosaka, Sumio / Sano, Hirotaka / Shirai, Masumi / Yin, You / Sone, Hayato et al. | 2007
- 806
-
Miniature traveling wave deflection for electron beam analog to digital conversionAldana, Rafael / Pease, Fabian et al. | 2007
- 810
-
Accurate parameter extraction for the simulation of direct structuring by ion beamsBeuer, S. / Rommel, M. / Lehrer, Ch. / Platzgummer, E. / Kvasnica, S. / Bauer, A.J. / Ryssel, H. et al. | 2007
- 814
-
Fogging effect correction method in high-resolution electron beam lithographyHudek, Peter / Denker, Ulrich / Beyer, Dirk / Belic, Nikola / Eisenmann, Hans et al. | 2007
- 818
-
Design and measurement of nanopatterns for FIB reliability assessmentsKang, Hyun-Wook / Cho, Dong-Woo et al. | 2007
- 822
-
10nm lines and spaces written in HSQ, using electron beam lithographyGrigorescu, A.E. / van der Krogt, M.C. / Hagen, C.W. / Kruit, P. et al. | 2007
- 825
-
Analysis of pattern-dependent image placement of single-membrane stencil masks for electron-beam lithographySano, Hisatake / Kuwahara, Naoko / Kitada, Minoru / Yusa, Satoshi / Fujita, Horoshi / Takikawa, Tadahiko / Hoga, Morihisa et al. | 2007
- 829
-
Focused-ion-beam direct structuring of fused silica for fabrication of nano-imprinting templatesLi, Wuxia / Dimov, Stefan / Lalev, Georgi et al. | 2006
- 833
-
Fabricating nanoscale device features using the 2-step NERIME nanolithography processGilmartin, S.F. / Arshak, K. / Collins, D. / Korostynska, O. / Arshak, A. et al. | 2007
- 837
-
Morphological and electrical study of FIB deposited amorphous W nanowiresHorváth, E. / Neumann, P.L. / Tóth, A.L. / Horváth, Z.E. / Biró, L.P. et al. | 2007
- 841
-
CD and IP accuracy in electron beam character projection technologyYabe, Takayuki / Yamada, Akio et al. | 2007
- 845
-
One-step fabrication of large area arrays of dots by electron beam lithographyPérez-Junquera, A. / Martín, J.I. / Alameda, J.M. et al. | 2007
- 848
-
A new way of manufacturing high resolution optical encoders by nanoimprint lithographyMerino, S. / Retolaza, A. / Juarros, A. / Landis, S. et al. | 2007
- 853
-
Template fabrication for the 32nm node and beyondSchmid, Gerard M. / Thompson, Ecron / Stacey, Nick / Resnick, Douglas J. / Olynick, Deirdre L. / Anderson, Erik H. et al. | 2007
- 860
-
Structure size dependent recovery of thin polystyrene layers in thermal imprint lithographyBogdanski, Nicolas / Wissen, Matthias / Möllenbeck, Saskia / Scheer, Hella-Christin et al. | 2007
- 864
-
Micro-aspiration assisted lithographyLe Berre, M. / Shi, J. / Crozatier, C. / Velve Casquillas, G. / Chen, Y. et al. | 2007
- 868
-
Coarse-grain method for modeling of stamp and substrate deformation in nanoimprintSirotkin, Vadim / Svintsov, Alexander / Schift, Helmut / Zaitsev, Sergey et al. | 2007
- 872
-
High density patterns fabricated in SU-8 by UV curing nanoimprintwang, Xudi / Chen, Yifang / Banu, Shahanara / Morgan, Hywel / Fu, Shaojun / Cui, Zheng et al. | 2007
- 877
-
Continuous roll to roll nanoimprinting of inherently conducting polyanilineMäkelä, Tapio / Haatainen, Tomi / Majander, Päivi / Ahopelto, Jouni et al. | 2007
- 880
-
Nanoimprinting lithography on 200mm wafers for optical applicationsChaix, N. / Landis, S. / Gourgon, C. / Merino, S. / Lambertini, V.G. / Durand, G. / Perret, C. et al. | 2007
- 885
-
Template replication for full wafer imprint lithographyMiller, Mike / Schmid, Gerard / Doyle, Gary / Thompson, Ecron / Resnick, Douglas J. et al. | 2007
- 891
-
Nano-graphoepitaxy of semiconductors for 3D integrationCrnogorac, F. / Witte, D.J. / Xia, Q. / Rajendran, B. / Pickard, D.S. / Liu, Z. / Mehta, A. / Sharma, S. / Yasseri, A. / Kamins, T.I. et al. | 2007
- 895
-
Nanoimprinted reflecting gratings for long-range surface plasmon polaritonsPedersen, R.H. / Boltasseva, A. / Johansen, D.M. / Nielsen, T. / Jørgensen, K.B. / Leosson, K. / Østergaard, J.E. / Kristensen, A. et al. | 2007
- 899
-
Replication of an UV-NIL stamp using DLC coatingKim, Ki-don / Jeong, Jun-ho / Ali, Altun / Lee, Dong-il / Choi, Dae-geun / Lee, Eung-sug et al. | 2007
- 904
-
Improved mold fabrication for the definition of high quality nanopatterns by Soft UV-Nanoimprint lithography using diluted PDMS materialKoo, Namil / Bender, Markus / Plachetka, Ulrich / Fuchs, Andreas / Wahlbrink, Thorsten / Bolten, Jens / Kurz, Heinrich et al. | 2007
- 909
-
Reproduction of fine structures by nanocasting lithographySogo, Kenji / Nakajima, Masaki / Kawata, Hiroaki / Hirai, Yoshihiko et al. | 2007
- 912
-
Fabrication of three-dimensional nanoimprint mold using inorganic resist in low accelerating voltage electron beam lithographyIshii, Yoshiaki / Taniguchi, Jun et al. | 2007
- 916
-
High-resolution fused silica mold fabrication for UV-nanoimprintVoisin, P. / Zelsmann, M. / Gourgon, C. / Boussey, J. et al. | 2007
- 921
-
Embedded nano channels fabricated by non-selective reverse contact UV nanoimprint lithography techniqueKehagias, N. / Chansin, G. / Reboud, V. / Zelsmann, M. / Schuster, C. / Kubenz, M. / Reuther, F. / Gruetzner, G. / Sotomayor Torres, C.M. et al. | 2007
- 925
-
A Moiré method for high accuracy alignment in nanoimprint lithographyMühlberger, M. / Bergmair, I. / Schwinger, W. / Gmainer, M. / Schöftner, R. / Glinsner, T. / Hasenfuß, Ch. / Hingerl, K. / Vogler, M. / Schmidt, H. et al. | 2007
- 925
-
A Moire method for high accuracy alignment in nanoimprint lithographyMuhlberger, M. / Bergmair, I. / Schwinger, W. / Gmainer, M. / Schoftner, R. / Glinsner, T. / Hasenfu, C. / Hingerl, K. / Vogler, M. / Schmidt, H. et al. | 2007
- 928
-
Flow property measurements for nanoimprint simulationLeveder, T. / Landis, S. / Davoust, L. / Chaix, N. et al. | 2007
- 932
-
Fast heating and cooling in nanoimprint using a spring-loaded adapter in a preheated pressSchift, Helmut / Bellini, Sandro / Gobrecht, Jens / Reuther, Frank / Kubenz, Mike / Mikkelsen, Morten Bo / Vogelsang, Konrad et al. | 2007
- 937
-
Simple high resolution nanoimprint-lithographyHäffner, M. / Heeren, A. / Fleischer, M. / Kern, D.P. / Schmidt, G. / Molenkamp, L.W. et al. | 2007
- 940
-
Investigation of capillary bridges growth in NIL processLandis, S. / Chaix, N. / Hermelin, D. / Leveder, T. / Gourgon, C. et al. | 2007
- 945
-
3D structures for UV-NIL template fabrication with grayscale e-beam lithographyPiaszenski, Guido / Barth, Ulrich / Rudzinski, Axel / Rampe, Andreas / Fuchs, Andreas / Bender, Markus / Plachetka, Ulrich et al. | 2007
- 949
-
Fingerprint stamp for evaluation of polymer flow time constants in thermal nanoimprintScheer, H.-C. / Bogdanski, N. / Möllenbeck, S. / Wissen, M. / Zimmer, K. / Zajadacz, J. et al. | 2007
- 953
-
Optimization of demolding temperature for throughput improvement of nanoimprint lithographyLeveder, T. / Landis, S. / Davoust, L. / Chaix, N. et al. | 2007
- 958
-
The use of automatic demolding in nanoimprint lithography processesMerino, S. / Schift, H. / Retolaza, A. / Haatainen, T. et al. | 2007
- 963
-
The UV-nanoimprint lithography equipment with multi-head imprinting unit for sub-50nm half-pitch patternsLee, JaeJong / Choi, KeeBong / Kim, GeeHong / Lee, SeungWoo et al. | 2007
- 967
-
Characterisation of ultraviolet nanoimprint dedicated resistsVoisin, P. / Zelsmann, M. / Cluzel, R. / Pargon, E. / Gourgon, C. / Boussey, J. et al. | 2007
- 973
-
Fluorinated materials for UV nanoimprint lithographyKawaguchi, Yasuhide / Nonaka, Fumiko / Sanada, Yasuhiro et al. | 2007
- 977
-
Fabrication of 50nm patterned nickel stamp with hot embossing and electroforming processHong, Sung-Hoon / Lee, Jong-Hwa / Lee, Heon et al. | 2007
- 980
-
Dimensional stability in step & repeat UV-nanoimprint lithographyOtto, M. / Bender, M. / Zhang, J. / Fuchs, A. / Wahlbrink, T. / Bolten, J. / Spangenberg, B. / Kurz, H. et al. | 2007
- 984
-
Development of a novel, low-viscosity UV-curable polymer system for UV-nanoimprint lithographyVogler, Marko / Wiedenberg, Sabine / Mühlberger, Michael / Bergmair, Iris / Glinsner, Thomas / Schmidt, Holger / Kley, Ernst-Bernhard / Grützner, Gabi et al. | 2007
- 989
-
Comprehensive defect analysis methodology for nano imprint lithographyDiBiase, T. / Ahamdian, M. / Malik, I. et al. | 2007
- 994
-
Fabrication of a polymeric photonic crystal wavelength splitter using ultra violet embossing technologySung, Jun-Ho / Lee, Min-Woo / Choi, Chul-Hyun / Yang, Jeong-Su / Lee, El-Hang / Lee, Seung-Gol / Park, Se-Geun / O, Beom-Hoan et al. | 2007
- 999
-
3D structural templates for UV-NIL fabricated with gray-scale lithographyKurihara, Masaaki / Abe, Makoto / Suzuki, Katsutoshi / Yoshida, Kouji / Shimomura, Takeya / Hoga, Morihisa / Mohri, Hiroshi / Hayashi, Naoya et al. | 2007
- 1003
-
Thermal imprint lithography using sub-micron sized nickel template coated with thin SiO2 layerByeon, Kyeong-Jae / Yang, Ki-Yeon / Lee, Heon et al. | 2007
- 1007
-
Investigation of the separation of 3D-structures with undercutsMöllenbeck, S. / Bogdanski, N. / Wissen, M. / Scheer, H.-C. / Zajadacz, J. / Zimmer, K. et al. | 2007
- 1011
-
Actinic inspection of EUVL mask blank defects by photoemission electron microscopy: Effect of inspection wavelength variationLin, Jingquan / Neuhaeusler, U. / Slieh, J. / Brechling, A. / Heinzmann, U. / Weber, N. / Escher, M. / Merkel, M. / Oelsner, A. / Valdaitsev, D. et al. | 2007
- 1015
-
Observation of the internal defects of multilayer filmKinoshita, H. / Hamamoto, K. / Sakaya, N. / Hosoya, M. / Shoki, T. / Mizuta, Y. / Yoshizumi, T. / Tanaka, K. / Watanabe, T. et al. | 2007
- 1019
-
Process impact of mask grid variationKuijten, Jan Pieter / Conley, Will / Verhappen, Arjan / Chaplin, Martin / van der Vleuten, Paul / van der Goor, Stephan / Litt, Lloyd / Kasprowicz, Bryan et al. | 2007
- 1023
-
Theoretical investigation of pattern printability of oxidized Si and Ru capping models for extreme ultraviolet lithography (EUVL)Kang, In-Yong / Ahn, Jinho / Kim, Chung Yong / Oh, Hye-Keun / Chung, Yong-Chae et al. | 2007
- 1027
-
The role of MEMS in maskless lithographyKruit, P. et al. | 2007
- 1033
-
CD control of direct versus complementary exposure for shaped beam writers and its correlation to the local registration errorThrum, F. / Choi, K.-H. / Lutz, T. / Hohle, C. / Arndt, C. / Tesauro, M. / Bootsmann, M.-T. / Kretz, J. et al. | 2007
- 1037
-
Surface-plasmon polariton interference nanolithography based on end-fire couplingGuo, Xiaowei / Du, Jinglei / Luo, Xiangang / Du, Chunlei / Guo, Yongkang et al. | 2007
- 1041
-
Micro/nano-heater integrated cantilevers for micro/nano-lithography applicationsLee, Dong-Weon / Oh, Il-Kwon et al. | 2007
- 1045
-
Supercritical drying for high aspect-ratio HSQ nano-structuresWahlbrink, T. / Küpper, D. / Bolten, J. / Möller, M. / Lemme, M.C. / Kurz, H. et al. | 2007
- 1049
-
Patterning capability of new molecular resist in EUV lithographyOizumi, Hiroaki / Tanaka, Yuusuke / Kumise, Takaaki / Shiono, Daiju / Hirayama, Taku / Hada, Hideo / Onodera, Junichi / Yamaguchi, Atsuko / Nishiyama, Iwao et al. | 2007
- 1054
-
Leaching mechanisms in immersion lithography with or without top coatGaugiran, S. / Feilleux, R. / Sourd, C. / Warrick, S. / Farys, V. / Cruau, D. / Benndorf, M. / Mortini, B. et al. | 2007
- 1058
-
Combined electron beam and UV lithography in SU-8Gersborg-Hansen, M. / Thamdrup, L.H. / Mironov, A. / Kristensen, A. et al. | 2007
- 1062
-
Stochastic simulation studies of molecular resistsDrygiannakis, D. / Patsis, G.P. / Raptis, I. / Niakoula, D. / Vidali, V. / Couladouros, E. / Argitis, P. / Gogolides, E. et al. | 2007
- 1066
-
Suppression of pinhole defects in fullerene molecular electron beam resistsChen, X. / Robinson, A.P.G. / Manickam, M. / Preece, J.A. et al. | 2007
- 1071
-
Improving the sensitivity and line edge roughness in inorganic positive electron beam resistOgino, Kenta / Taniguchi, Jun / Satake, Shin-ichi / Yamamoto, Keisuke / Ishii, Yoshiaki / Ishikawa, Kiyoshi et al. | 2007
- 1075
-
Improved properties of epoxy nanocomposites for specific applications in the field of MEMS/NEMSVoigt, Anja / Heinrich, Marina / Martin, Cristina / Llobera, Andreu / Gruetzner, Gabi / Pérez-Murano, Francesc et al. | 2007
- 1080
-
Fast electron resist contrast determination by “fitting before measurement” approachKnyazev, M.A. / Dubonos, S.V. / Svintsov, A.A. / Zaitsev, S.I. et al. | 2007
- 1084
-
LER evaluation of molecular resist for EUV lithographyShiono, Daiju / Hada, Hideo / Yukawa, Hiroto / Oizumi, Hiroaki / Nishiyama, Iwao / Kojima, Kyoko / Fukuda, Hiroshi et al. | 2007
- 1088
-
Molecular roughness analysis of developed resist by LER methodUbaldi, M.C. / Stasi, V. / Piccinin, D. / Martinelli, M. et al. | 2007
- 1092
-
Fabrication of vertical optical interconnecting structure using photoresist reflowed mold structuresLee, Min-Woo / Choi, Chul-Hyun / Kim, Bo-Soon / Sung, Jun-Ho / Jo, Soo-Beom / Yang, Jeong-Su / Lee, Seung-Gol / Park, Se-Geun / Lee, El-Hang / O, Beom-Hoan et al. | 2007
- 1096
-
Electron beam lithography at 10keV using an epoxy based high resolution negative resistMartin, C. / Rius, G. / Llobera, A. / Voigt, A. / Gruetzner, G. / Pérez-Murano, F. et al. | 2007
- 1100
-
Simulation and analysis for microstructure profile of optical lithography based on SU-8 thick resistTang, Xionggui / Yang, Xiaoyu / Gao, Fuhua / Guo, Yongkang et al. | 2007
- 1104
-
Photosensitive poly(dimethylsiloxane) materials for microfluidic applicationsTsougeni, Katerina / Tserepi, Angeliki / Gogolides, Evangelos et al. | 2007
- 1109
-
Comparative study of e-beam resist processes at different development temperatureYang, Haifang / Jin, Aizi / Luo, Qiang / Gu, Changzhi / Cui, Zheng et al. | 2007
- 1113
-
Study of crack formation in high-aspect ratio SU-8 structures on siliconBystrova, S. / Luttge, R. / van den Berg, A. et al. | 2007
- 1117
-
EBL Bi-layer resist scheme for CdTe/Si submicron structures for lift-off processingAbellán, M. / Anguita, J. / Sochinskii, N.V. et al. | 2007
- 1120
-
Silicon cryo-etching of deep holesTillocher, T. / Dussart, R. / Mellhaoui, X. / Lefaucheux, P. / Boufnichel, M. / Ranson, P. et al. | 2007
- 1124
-
Dry etching of a device quality high-k GaxGdyOz gate oxide in CH4/H2–O2 chemistry for the fabrication of III–V MOSFETsLi, X. / Zhou, H. / Hill, R.J.W. / Wilkinson, C.D.W. / Thayne, I.G. et al. | 2006
- 1128
-
The passivation layer formation in the cryo-etching plasma processDussart, R. / Mellhaoui, X. / Tillocher, T. / Lefaucheux, P. / Boufnichel, M. / Ranson, P. et al. | 2007
- 1132
-
DRIE based novel technique for AFM probes fabricationVillanueva, G. / Plaza, J.A. / Sánchez, A. / Zinoviev, K. / Pérez-Murano, F. / Bausells, J. et al. | 2007
- 1136
-
SU-8 plating mold for high-aspect-ratio nickel zone platesLindblom, M. / Hertz, H.M. / Holmberg, A. et al. | 2007
- 1140
-
Fabrication of Si mold with smooth side wall by new plasma etching processKawata, Hiroaki / Yasuda, Masaaki / Hirai, Yoshihiko et al. | 2007
- 1144
-
Fabrication of near-infrared and optical meta-materials on insulating substrates by lift-off using PMMA/Al stackXia, Xiaoxiang / Yang, Haifang / Wang, Zongli / Li, Yunlong / Cui, Zheng / Chen, Yifang / Gu, Changzhi et al. | 2007
- 1148
-
Copper metallization based on direct-liquid-injection hot-wire CVDPapadimitropoulos, G. / Davazoglou, D. et al. | 2007
- 1152
-
Selective etching of AlInN/GaN heterostructures for MEMS technologySillero, E. / López-Romero, D. / Calle, F. / Eickhoff, M. / Carlin, J.F. / Grandjean, N. / Ilegems, M. et al. | 2007
- 1157
-
Flexible fabrication of large pixel count piston-tip-tilt mirror arrays for fast spatial light modulatorsPardo, Flavio / Cirelli, R.A. / Ferry, E.J. / Lai, W.Y.-C. / Klemens, F.P. / Miner, J.F. / Pai, C.S. / Bower, J.E. / Mansfield, W.M. / Kornblit, A. et al. | 2007
- 1162
-
Fabrication of cantilevers and double AFM tips for the NanoProfilerDuriau, Edouard / Clarysse, T. / Hantschel, T. / Vandervorst, W. et al. | 2007
- 1168
-
Two-step magnetic self-alignment of folded membranes for 3D nanomanufacturingNichol, Anthony J. / Stellman, Paul S. / Arora, William J. / Barbastathis, George et al. | 2007
- 1172
-
Fabrication of diffraction gratings for hard X-ray phase contrast imagingDavid, C. / Bruder, J. / Rohbeck, T. / Grünzweig, C. / Kottler, C. / Diaz, A. / Bunk, O. / Pfeiffer, F. et al. | 2007
- 1178
-
Conductive diamond probes with electroplated holder chipsKölling, Sebastian / Hantschel, Thomas / Vandervorst, Wilfried et al. | 2006
- 1182
-
Characterization of microfluidic fuel cell based on multiple laminar flowSun, M.H. / Velve Casquillas, G. / Guo, S.S. / Shi, J. / Ji, H. / Ouyang, Q. / Chen, Y. et al. | 2007
- 1186
-
Lamellar crystallization of silicon for 3-dimensional integrationWitte, D.J. / Crnogorac, F. / Pickard, D.S. / Mehta, A. / Liu, Z. / Rajendran, B. / Pianetta, P. / Pease, R.F.W. et al. | 2007
- 1190
-
High-aspect-ratio silica nozzle fabrication for nano-emitter electrospray applicationsWang, Ling / Stevens, Robert / Malik, Adnan / Rockett, Peter / Paine, Mark / Adkin, Paul / Martyn, Scott / Smith, Katherine / Stark, John / Dobson, Peter et al. | 2007
- 1194
-
Microlitre hot strip devices for thermal characterization of nanofluidsVelve Casquillas, G. / Le Berre, M. / Peroz, C. / Chen, Y. / Greffet, J.J. et al. | 2007
- 1198
-
Aspects of micro structuring low temperature co-fired ceramic (LTCC) for realisation complex 3D objects by embossingAndrijasevic, Daniela / Smetana, Walter / Zehetner, Johann / Zoppel, Sandra / Brenner, Werner et al. | 2007
- 1202
-
Characterization of active silicon microvalves with piezoelectric membrane actuatorsDoll, A. / Wischke, M. / Schrag, H.-J. / Geipel, A. / Goldschmidtboeing, F. / Woias, P. et al. | 2007
- 1207
-
Substrate independent fabrication of a non-planar probe cardRosamond, Mark / Wood, David et al. | 2007
- 1211
-
Fabrication of conductometric chemical sensors by photolithography of conductive polymer compositesAndreadis, N. / Chatzandroulis, S. / Goustouridis, D. / Kosma, Vasiliki / Beltsios, K. / Raptis, I. et al. | 2007
- 1215
-
Fabrication of nanogaps for MEMS prototyping using focused ion beam as a lithographic tool and reactive ion etching pattern transferVillarroya, Maria / Barniol, Nuria / Martin, Cristina / Pérez-Murano, Francesc / Esteve, Jaume / Bruchhaus, Lars / Jede, Ralf / Bourhis, Eric / Gierak, Jacques et al. | 2007
- 1219
-
Design and testing of a polymeric microgripper for cell manipulationSolano, Belen / Wood, David et al. | 2007
- 1223
-
Microfluidic cooling of semiconductor light emission diodesWang, Z.M. / Bao, K. / Xu, L.P. / Luo, C.X. / Zhang, B. / Ji, H. / Ouyang, Q. / Chen, Y. et al. | 2007
- 1227
-
Effect of roughness on adhesion of polymeric coatings used for microgrippersBalabanava, N. / Wierzbicki, R. / Zielecka, M. / Rymuza, Z. et al. | 2007
- 1231
-
Fabrication of MMI optical power splitter by UV embossing with PDMS moldChoi, Chul-Hyun / Lee, Min-Woo / Sung, Jun-Ho / Kim, Bo Soon / O, Beom-Hoan et al. | 2007
- 1235
-
X-ray lithography for devices with high aspect ratio polymer submicron structuresMappes, Timo / Achenbach, Sven / Mohr, Juergen et al. | 2007
- 1240
-
A multi-sensor biological monitoring module built up in LTCC-technologySmetana, Walter / Balluch, Bruno / Stangl, Günther / Gaubitzer, Erwin / Edetsberger, Michael / Köhler, Gottfried et al. | 2007
- 1244
-
Focused ion beam modifications of indium phosphide photonic crystalsNellen, Philipp M. / Strasser, Patric / Callegari, Victor / Wüest, Robert / Erni, Daniel / Robin, Franck et al. | 2007
- 1248
-
Fabrication of silicon 3D taper structures for optical fibre to chip interfaceHolly, Roman / Hingerl, Kurt / Merz, Robert / Hudek, Peter et al. | 2007
- 1252
-
Micro-opto-electro-mechanical system for X-ray focusingAl-Aioubi, M. / Prewett, P.D. / Huq, S.E. / Djakov, V. / Michette, A.G. et al. | 2007
- 1256
-
Fabrication of Ni–Al2O3 composite microcomponent by electroformingWei, X.Y. / Zhu, Z.G. / Prewett, P.D. / Jiang, K. et al. | 2007
- 1260
-
Piezoresistive and self-actuated 128-cantilever arrays for nanotechnology applicationsRangelow, I.W. / Ivanov, Tzv. / Ivanova, K. / Volland, B.E. / Grabiec, P. / Sarov, Y. / Persaud, A. / Gotszalk, T. / Zawierucha, P. / Zielony, M. et al. | 2007
- 1265
-
Fabrication of microfluidic devices based on glass–PDMS–glass technologyPlecis, Adrien / Chen, Yong et al. | 2007
- 1270
-
Reliability of poly 3,4-ethylenedioxythiophene strain gaugeMateiu, Ramona / Lillemose, Michael / Hansen, Thomas Steen / Boisen, Anja / Geschke, Oliver et al. | 2007
- 1274
-
Thermomechanical response of membrane-like MEMS componentDržík, M. / Chlpík, J. / Lalinský, T. et al. | 2007
- 1278
-
MEMS-based modular actuator for capsular endoscope applicationsLee, Dong-Weon / Park, Jong-Sung / Park, Suk-ho / Park, Jong-Oh / Yoon, Hi-Seak et al. | 2007
- 1282
-
Development of ultrasonic micro hot embossing technologyMekaru, Harutaka / Goto, Hiroshi / Takahashi, Masaharu et al. | 2007
- 1288
-
Design issues involved in the development of a membrane-based high-temperature nanocalorimeterLopeandía, A.F. / León-Gutierrez, E. / Rodríguez-Viejo, J. / Muñoz, F.J. et al. | 2007
- 1292
-
Novel cantilever design with high control of the mechanical performancePlaza, J.A. / Zinoviev, K. / Villanueva, G. / Domínguez, C. et al. | 2007
- 1296
-
Young’s modulus and residual stress of DF PECVD silicon nitride for MEMS free-standing membranesCianci, E. / Coppa, A. / Foglietti, V. et al. | 2007
- 1300
-
Fabrication of a gas sensor with a piezoelectric PZT film deposited by a novel hydrothermal microwave-assisted annealingKo, Fu-Hsiang / Hsu, Yi-Chieh / Wang, Menq-Te / Huang, Gue-wha Steven et al. | 2007
- 1305
-
High resolution pixel definition in hybrid microcavitiesTroisi, L. / Martiradonna, L. / Stomeo, T. / Gigli, G. / De Vittorio, M. et al. | 2007
- 1308
-
3D macroporous structures for the development of high capacitance silicon integrated microcapacitorsSancho, A. / Etxeberria, Jon A. / Gracia, F.J. et al. | 2007
- 1312
-
Building CMUTs for imaging applications from top to bottomCoppa, A. / Cianci, E. / Foglietti, V. / Caliano, G. / Pappalardo, M. et al. | 2007
- 1316
-
Fabrication and characterization of a pressure sensor using a pitch-based carbon fiberPark, C.S. / Kang, B.S. / Lee, D.W. / Choi, T.Y. / Choi, Y.S. et al. | 2007
- 1320
-
Fabrication of AlN/Si SAW delay lines with very low RF signal noiseIngrosso, I. / Petroni, S. / Altamura, D. / De Vittorio, M. / Combi, C. / Passaseo, A. et al. | 2007
- 1325
-
Development of UV-LIGA integrated vibrometer using 3x3 directional couplerAdamovic, N. / Vujanic, A. et al. | 2007
- 1325
-
Development of UV-LIGA integrated vibrometer using 3×3 directional couplerAdamovic, Nadja / Vujanic, Aleksandar et al. | 2007
- 1329
-
Duo-action electro thermal micro gripperVolland, B.E. / Ivanova, K. / Ivanov, Tzv. / Sarov, Y. / Guliyev, E. / Persaud, A. / Zöllner, J.-P. / Klett, S. / Kostic, I. / Rangelow, I.W. et al. | 2007
- 1333
-
Development of a micro-manipulator based on piezoelectric-technologyGötze, Holger / Pagel, Lienhard et al. | 2007