Residual layer thickness in nanoimprint: experiments and coarse-grain simulation (English)
- New search for: Kehagias, N.
- New search for: Reboud, V.
- New search for: Torres, C.M.S.
- New search for: Sirotkin, V.
- New search for: Svintsov, A.
- New search for: Zaitsev, S.
- New search for: Kehagias, N.
- New search for: Reboud, V.
- New search for: Torres, C.M.S.
- New search for: Sirotkin, V.
- New search for: Svintsov, A.
- New search for: Zaitsev, S.
In:
Microelectronic Engineering
;
85
, 5-6
;
846-849
;
2008
-
ISSN:
- Article (Journal) / Print
-
Title:Residual layer thickness in nanoimprint: experiments and coarse-grain simulation
-
Contributors:Kehagias, N. ( author ) / Reboud, V. ( author ) / Torres, C.M.S. ( author ) / Sirotkin, V. ( author ) / Svintsov, A. ( author ) / Zaitsev, S. ( author )
-
Published in:Microelectronic Engineering ; 85, 5-6 ; 846-849
-
Publisher:
-
Publication date:2008
-
Size:4 Seiten, 6 Quellen
-
ISSN:
-
Coden:
-
DOI:
-
Type of media:Article (Journal)
-
Type of material:Print
-
Language:English
-
Keywords:
-
Source:
Table of contents – Volume 85, Issue 5-6
The tables of contents are generated automatically and are based on the data records of the individual contributions available in the index of the TIB portal. The display of the Tables of Contents may therefore be incomplete.
- 733
-
PrefaceKristensen, Anders / Boisen, Anja / Nordstöm, Maria / Montelius, Lars et al. | 2008
- 734
-
Laser copper plasma X-ray source debris characterizationHuston, Dryver / Boerger, Brent / Selzer, Robert / Hurley, David / Garcia, Shan / Grenon, Brian et al. | 2007
- 738
-
Flare mitigation strategies in extreme ultraviolet lithographyKim, Insung / Myers, Alan / III, Lawrence S. Melvin / Ward, Brian / Lorusso, Gian Francesco / Jonckheere, Rik / Goethals, Anne-Marie / Ronse, Kurt et al. | 2008
- 744
-
Investigation of high-resolution contact printingMeliorisz, B. / Partel, S. / Schnattinger, T. / Fühner, T. / Erdmann, A. / Hudek, P. et al. | 2007
- 749
-
Development of a new porous pin chuck for lithographyUne, A. / Yoshitomi, K. / Mochida, M. et al. | 2008
- 754
-
193nm interference nanolithography based on SPPXiong, Wei / Du, Jinglei / Fang, Liang / Luo, Xiangang / Deng, Qiling / Du, Chunlei et al. | 2008
- 758
-
Periodic sub-wavelength electron beam lithography defined photonic crystals for mode control in semiconductor lasersDeRose, Guy A. / Zhu, Lin / Poon, Joyce K.S. / Yariv, Amnon / Scherer, Axel et al. | 2007
- 761
-
Improvements to the alignment process in a commercial vector scan electron beam lithography toolDocherty, K.E. / Thoms, S. / Dobson, P. / Weaver, J.M.R. et al. | 2008
- 764
-
Chemically amplified molecular resists for e-beam lithographyGibbons, F.P. / Manyam, J. / Diegoli, S. / Manickam, M. / Preece, J.A. / Palmer, R.E. / Robinson, A.P.G. et al. | 2007
- 768
-
E-beam lithography of catalyst patterns for carbon nanotube growth on insulating substratesHäffner, M. / Haug, A. / Weitz, R.T. / Fleischer, M. / Burghard, M. / Peisert, H. / Chassé, T. / Kern, D.P. et al. | 2008
- 774
-
Nano-dot and -pit arrays with a pitch of 25nm×25nm fabricated by EB drawing, RIE and nano-imprinting for 1Tb/in2 storageHosaka, Sumio / Mohamad, Zulfakri / Shirai, Masumi / Sano, Hirotaka / Yin, You / Miyachi, Akihira / Sone, Hayato et al. | 2007
- 774
-
Nano-dot and -pit arrays with a pitch of 25nmx25nm fabricated by EB drawing, RIE and nano-imprinting for 1Tb/in2 storageHosaka, S. / Mohamad, Z. / Shirai, M. / Sano, H. / Yin, Y. / Miyachi, A. / Sone, H. et al. | 2008
- 778
-
Determination of best focus and optimum dose for variable shaped e-beam systems by applying the isofocal dose methodKeil, Katja / Choi, Kang-Hoon / Hohle, Christoph / Kretz, Johannes / Lutz, Tarek / Bettin, Lutz / Boettcher, Monika / Hahmann, Peter / Kliem, Karl-Heinz / Schnabel, Bernd et al. | 2008
- 782
-
Feasibility study of TFT-LCD array tester using low voltage micro-columnsKim, H.S. / Kim, D.W. / Ahn, S.J. / Kim, Y.C. / Park, S.S. / Park, K.W. / Hwang, N.W. / Jin, S.W. / Bae, S.Y. et al. | 2007
- 787
-
Measurement of the gauge factor of carbon fiber and its application to sensorsKim, J.K. / Park, C.S. / Lee, D.W. / Cho, S.M. / Han, C.R. et al. | 2008
- 792
-
Integration of EBDW of one entire metal layer as substitution for optical lithography in 220nm node microcontrollersKretz, J. / Roeper, H. / Arndt, C. / Bischoff, T. / Choi, K.-H. / Goldbeck, G. / Gunia, M. / Hohle, C. / Lutz, T. / Schubert, U. et al. | 2007
- 796
-
Thermal conductivity measurements of low-k films using thermoreflectance phenomenonKuwahara, M. / Suzuki, O. / Takada, S. / Hata, N. / Fons, P. / Tominaga, J. et al. | 2007
- 800
-
Improvement of high resolution lithography by using amorphous carbon hard maskPauliac-Vaujour, S. / Brianceau, P. / Comboroure, C. / Faynot, O. et al. | 2008
- 805
-
Towards 2–10nm electron-beam lithography: A quantitative approachSidorkin, V. / van Run, A. / van Langen-Suurling, A. / Grigorescu, A. / van der Drift, E. et al. | 2008
- 810
-
High resolution electron beam lithography of PMGI using solvent developersCui, Bo / Veres, Teodor et al. | 2008
- 814
-
Electron beam lithography of HSQ/PMMA bilayer resists for negative tone lift-off processYang, Haifang / Jin, Aizi / Luo, Qiang / Li, Junjie / Gu, Changzhi / Cui, Zheng et al. | 2008
- 818
-
Design and fabrication using nanoimprint lithography of a nanofluidic device for DNA stretching applicationsAbad, E. / Merino, S. / Retolaza, A. / Juarros, A. et al. | 2007
- 822
-
Equalising stamp and substrate deformations in solid parallel-plate UV-based nanoimprint lithographyBergmair, Iris / Mühlberger, Michael / Gusenbauer, Markus / Schöftner, Rainer / Hingerl, Kurt et al. | 2007
- 825
-
Polymers below the critical molecular weight for thermal imprint lithographyBogdanski, Nicolas / Wissen, Matthias / Möllenbeck, Saskia / Scheer, Hella-Christin et al. | 2008
- 830
-
Fabrication of nano-hole array patterns on transparent conducting oxide layer using thermally curable nanoimprint lithographyByeon, Kyeong-Jae / Hwang, Seon-Yong / Lee, Heon et al. | 2007
- 834
-
Step-and-scan maskless lithography for ultra large scale DNA chipsNegrete, Omar D. / Cerrina, Franco et al. | 2008
- 838
-
Determination of stress build-up during nanoimprint process in triangular polymer structuresFernandez-Cuesta, I. / Borrisé, X. / Retolaza, A. / Merino, S. / Mendels, D.-A. / Hansen, O. / Kristensen, A. / Pérez-Murano, F. et al. | 2007
- 842
-
Pressure and resist thickness dependency of resist time evolutions profiles in nanoimprint lithographyHirai, Yoshihiko / Onishi, Yuki / Tanabe, Toshiaki / Shibata, Mayuko / Iwasaki, Takuya / Iriye, Yasuroh et al. | 2007
- 846
-
Residual layer thickness in nanoimprint: Experiments and coarse-grain simulationKehagias, Nikolaos / Reboud, Vincent / Sotomayor Torres, Clivia M. / Sirotkin, Vadim / Svintsov, Alexander / Zaitsev, Sergey et al. | 2007
- 850
-
Development and validation of functional imprint material for the step and flash imprint lithography processKettle, J. / Coppo, P. / Lalev, G. / Tattershall, C. / Dimov, S. / Turner, M.L. et al. | 2007
- 853
-
Fabrication of complex 3D structures using Step and Flash Imprint Lithography (S-FIL)Kettle, J. / Hoyle, R.T. / Dimov, S. / Perks, R.M. et al. | 2007
- 856
-
Minimizing linewidth roughness in Step and Flash Imprint LithographyKhusnatdinov, Niyaz / Schmid, Gerard M. / Brooks, Cynthia B. / LaBrake, Dwayne / Resnick, Douglas J. / Hart, Mark W. / Gopalakrishnan, Kailash / Shenoy, Rohit / Jih, Ron / Zhang, Ying et al. | 2008
- 861
-
Nano-scale patterning using the roll typed UV-nanoimprint lithography toolLee, JaeJong / Park, SooYeon / Choi, KeeBong / Kim, GeeHong et al. | 2007
- 866
-
Nanophotonic crystals with chiral elements by a hot embossing process in SU-8Lu, Bing-Rui / Wan, Jing / Xie, Shen-Qi / Shu, Zhen / Sun, Yan / Chen, Yifang / Qu, Xin-Ping / Liu, Ran et al. | 2007
- 870
-
Nanoimprint for future non-volatile memory and logic devicesMeier, M. / Nauenheim, C. / Gilles, S. / Mayer, D. / Kügeler, C. / Waser, R. et al. | 2008
- 873
-
Micro lens imprinted on Pyrex glass by using amorphous Ni–P alloy moldMekaru, Harutaka / Tsuchida, Tomoyuki / Uegaki, Jun-ichi / Yasui, Manabu / Yamashita, Michiru / Takahashi, Masaharu et al. | 2008
- 877
-
Stamp deformation and its influence on residual layer homogeneity in thermal nanoimprint lithographyMerino, S. / Retolaza, A. / Schift, H. / Trabadelo, V. et al. | 2008
- 881
-
Analysis of the filling behaviour of trenches via air bubble trackingMöllenbeck, S. / Bogdanski, N. / Wissen, M. / Scheer, H.-C. / Zajadacz, J. / Zimmer, K. et al. | 2008
- 886
-
Fabrication of photonic components by nanoimprint technology within ePIXnetPlachetka, U. / Kristensen, A. / Scheerlinck, S. / Huskens, J. / Koo, N. / Kurz, H. et al. | 2008
- 890
-
Imprintability of polymers for thermal nanoimprintScheer, H.-C. / Bogdanski, N. / Wissen, M. / Möllenbeck, S. et al. | 2007
- 897
-
Custom-specific UV nanoimprint templates and life-time of antisticking layersSchmitt, H. / Zeidler, M. / Rommel, M. / Bauer, A.J. / Ryssel, H. et al. | 2008
- 902
-
Analysis of time dependent polymer deformation based on a viscoelastic model in thermal imprint processTakagi, H. / Takahashi, M. / Maeda, R. / Onishi, Y. / Iriye, Y. / Iwasaki, T. / Hirai, Y. et al. | 2008
- 907
-
Measurement of demolding forces in full wafer thermal nanoimprintTrabadelo, Vera / Schift, Helmut / Merino, Santos / Bellini, Sandro / Gobrecht, Jens et al. | 2008
- 910
-
Free-standing SU-8 subwavelength gratings fabricated by UV curing imprintWang, Xudi / Liao, Yanlin / Liu, Bin / Ge, Liangjin / Li, Guanghua / Fu, Shaojun / Chen, Yifang / Cui, Zheng et al. | 2007
- 914
-
A nanoimprint lithography for fabricating SU-8 gratings for near-infrared to deep-UV applicationXie, Shen-Qi / Wan, Jing / Lu, Bing-Rui / Sun, Yan / Chen, Yifang / Qu, Xin-Ping / Liu, Ran et al. | 2008
- 918
-
Fabrication of micro mold for hot-embossing of polyimide microfluidic platform by using electron beam lithography combined with inductively coupled plasmaYoun, Sung-Won / Noguchi, Toshihiko / Takahashi, Masaharu / Maeda, Ryutaro et al. | 2008
- 922
-
Inspection of EUVL mask blank defects and patterned masks using EUV photoemission electron microscopyLin, Jingquan / Maul, J. / Weber, N. / Holfeld, Ch. / Escher, M. / Merkel, M. / Schoenhense, G. / Kleineberg, U. et al. | 2008
- 925
-
Control of duty ratio in waveguide gratings using a near-field holographic lithography system with a variable apertureSung, Jun-Ho / Yang, Jeong-Su / Kim, Bo-Soon / Choi, Chul-Hyun / Lee, Min-Woo / Lee, Seung-Gol / Park, Se-Geun / Lee, El-Hang / O, Beom-Hoan et al. | 2008
- 929
-
RET simulations for SLM-based maskless lithographyGuo, XiaoWei / Du, Jinglei / Luo, Xiangang / Deng, Qiling / Du, Chunlei et al. | 2008
- 934
-
Nanopatterning materials using area selective atomic layer deposition in conjunction with thermochemical surface modification via heated AFM cantilever probe lithographyHua, Yueming / King, William P. / Henderson, Clifford L. et al. | 2008
- 937
-
Three-dimensional projection mask-less patterning (PMLP) of micro-lenses and cones: Monitoring and modelling of ion multi-beam kinetic sputtering in GaAsvan Delft, Falco C.M.J.M. / Ebm, Christoph / Naburgh, Emile P. / Platzgummer, Elmar / Loeschner, Hans / Gross, Gerhard et al. | 2007
- 942
-
Nanoindentation testing of SU-8 photoresist mechanical propertiesAl-Halhouli, A.T. / Kampen, I. / Krah, T. / Büttgenbach, S. et al. | 2008
- 945
-
High aspect ratio micro/nano machining with proton beam writing on aqueous developable – easily stripped negative chemically-amplified resistsChatzichristidi, M. / Valamontes, E. / Argitis, P. / Raptis, I. / van Kan, J.A. / Zhang, F. / Watt, F. et al. | 2007
- 949
-
Stochastic simulation studies of molecular resists for the 32nm technology nodeDrygiannakis, D. / Patsis, G.P. / Tsikrikas, N. / Kokkoris, G. / Boudouvis, A. / Raptis, I. / Gogolides, E. / Argitis, P. et al. | 2007
- 955
-
Processing effects on the dissolution properties of thin chemically amplified photoresist filmsDrygiannakis, D. / Raptis, I. / Patsis, G.P. / Boudouvis, A. / vanWerden, K. et al. | 2007
- 959
-
Epoxide functionalized molecular resists for high resolution electron-beam lithographyLawson, Richard A. / Lee, Cheng-Tsung / Yueh, Wang / Tolbert, Laren / Henderson, Clifford L. et al. | 2008
- 963
-
The effect of direct PAG incorporation into the polymer main chain on reactive ion etch resistance of 193nm and EUV chemically amplified resistsLee, Cheng-Tsung / Henderson, Clifford L. / Wang, Mingxing / Gonsalves, Kenneth E. / Yueh, Wang / Roberts, Jeanette M. et al. | 2008
- 966
-
Low damage ashing and etching processes for ion implanted resist and Si3N4 removal by ICP and RIE methodsLi, X. / Zhou, H. / Abrokwah, J. / Zurcher, P. / Rajagopalan, K. / Liu, W. / Gregory, R. / Passlack, M. / Thayne, I.G. et al. | 2007
- 969
-
Intrinsically conductive polymer thin film piezoresistorsLillemose, M. / Spieser, M. / Christiansen, N.O. / Christensen, A. / Boisen, A. et al. | 2007
- 972
-
Novel methods to pattern polymers for microfluidicsMartin, C. / Llobera, A. / Leïchlé, T. / Villanueva, G. / Voigt, A. / Fakhfouri, V. / Kim, J.Y. / Berthet, N. / Bausells, J. / Gruetzner, G. et al. | 2008
- 976
-
Characterization of thin film transistors fabricated on different sequential lateral solidified poly-silicon substratesMichalas, L. / Papaioannou, G.J. / Kouvatsos, D.N. / Farmakis, F.V. / Voutsas, A.T. et al. | 2008
- 979
-
Deprotection kinetic monitoring of a 193nm positive tone resist by ellipsometryRidaoui, H. / Tortai, J.H. / Derrough, S. / Sourd, C. / Trouve, H. / Pikon, A. et al. | 2008
- 982
-
New method for the precise flux calculation of neutrals for arbitrary surfaces in profile etch simulationsHauguth, M. / Danz, T. / Volland, B.E. / Ishshuk, V. / Dreßler, D. / Rangelow, I.W. et al. | 2008
- 985
-
High etch selectivity for plasma etching SiO2 with AlN and Al2O3 masksKolari, K. et al. | 2007
- 988
-
Very high resolution etching of magnetic nanostructures in organic gasesKong, X. / Krása, D. / Zhou, H.P. / Williams, W. / McVitie, S. / Weaver, J.M.R. / Wilkinson, C.D.W. et al. | 2007
- 992
-
Comparison of different methods for simulating the effect of specular ion reflection on microtrenching during dry etching of polysiliconKunder, D. / Bär, E. et al. | 2008
- 996
-
A low damage Si3N4 sidewall spacer process for self-aligned sub-100nm III–V MOSFETsLi, X. / Hill, R.J.W. / Zhou, H. / Wilkinson, C.D.W. / Thayne, I.G. et al. | 2007
- 1000
-
Improved bi-layer lift-off process for MEMS applicationsLiang, Jinxing / Kohsaka, Fusao / Matsuo, Takahiro / Li, Xuefeng / Ueda, Toshitsugu et al. | 2008
- 1004
-
Image reversal revisitedvan Delft, F.C.M.J.M. / van der Kruis, F.J.H. / Roosen, H.H.A.J. / van de Laar, H.W.J.J. et al. | 2007
- 1010
-
Etching of sub-micrometer structures through StencilVillanueva, G. / Vazquez-Mena, O. / van den Boogaart, M.A.F. / Sidler, K. / Pataky, K. / Savu, V. / Brugger, J. et al. | 2007
- 1015
-
Fabrication of nanoimprint template in Si with high etch rate by non-switch DRIE processWang, Xudi / Chen, Yifang / Wang, Ling / Cui, Zheng et al. | 2008
- 1018
-
Dynamic behavior of the tuning fork AFM probeBayat, Dara / Akiyama, Terunobu / de Rooij, Nicolaas F. / Staufer, Urs et al. | 2008
- 1022
-
Real-time gripping detection for a mechanically actuated microgripperBlideran, M.M. / Fleischer, M. / Grauvogel, F. / Löffler, K. / Langer, M.G. / Kern, D.P. et al. | 2008
- 1027
-
Design, fabrication, and testing of microporous wicking structureChen, Yongkang / Melvin, Lawrence S. III / Weislogel, Mark M. / Jenson, Ryan M. / Dhuey, Scott / Nealey, Paul F. et al. | 2008
- 1031
-
Fabrication of miniaturized Schottky emitter by wire electrical discharge machining (WEDM)Dokania, A.K. / Pelle, M. / Kruit, P. et al. | 2007
- 1035
-
Field-effect transistors with thin ZnO as active layer for gas sensor applicationsFarmakis, F.V. / Speliotis, Th. / Alexandrou, K.P. / Tsamis, C. / Kompitsas, M. / Fasaki, I. / Jedrasik, P. / Petersson, G. / Nilsson, B. et al. | 2008
- 1039
-
RF MEMS capacitive switch on semi-suspended CPW using low-loss high-resistivity silicon substrateFernández-Bolaños, M. / Perruisseau-Carrier, J. / Dainesi, P. / Ionescu, A.M. et al. | 2008
- 1043
-
Optical lithography onto inside surfaces of small-diameter pipesHoriuchi, Toshiyuki / Katayama, Masahiro / Watanabe, Yuusuke / Fujita, Katsuyuki / Yasuda, Takashi et al. | 2008
- 1047
-
Revised fabrication process for micro-fluxgate-magnetometers: Usage of electrodepositable photoresistKirchhoff, Maren R. / Güttler, Jens / Waldschik, Andreas / Feldmann, Marco / Büttgenbach, Stephanus et al. | 2007
- 1050
-
Towards fully polymeric MEMS: Fabrication and testing of PEDOT/PSS strain gaugesLang, Udo / Rust, Philipp / Dual, Jurg et al. | 2008
- 1054
-
A novel pressure sensor with a PDMS diaphragmLee, Dong-Weon / Choi, Young-Soo et al. | 2008
- 1059
-
Direct Al–Al contact using low temperature wafer bonding for integrating MEMS and CMOS devicesLin, H. / Stevenson, J.T.M. / Gundlach, A.M. / Dunare, C.C. / Walton, A.J. et al. | 2008
- 1062
-
A micromagnetoflowcell for microfluidic measurementsLo, C.S. / Prewett, P.D. / Davies, G.J. / Bowen, J. / Vanner, K. et al. | 2008
- 1066
-
A compact and disposable transdermal drug delivery systemMatteucci, M. / Casella, M. / Bedoni, M. / Donetti, E. / Fanetti, M. / De Angelis, F. / Gramatica, F. / Di Fabrizio, E. et al. | 2007
- 1074
-
Monolithic silicon optocoupler engineering based on tapered waveguidesMisiakos, K. / Makarona, E. / Kitsara, M. / Raptis, I. et al. | 2007
- 1077
-
Fabrication of optical grayscale masks for tapered microfluidic devicesNock, Volker / Blaikie, Richard J. et al. | 2008
- 1083
-
In situ fabrication of a poly-acrylamide membrane in a microfluidic channelOrhan, J.-B. / Knaack, R. / Parashar, V.K. / Gijs, M.A.M. et al. | 2007
- 1086
-
Optimization of a novel micro-opto-X-ray imaging lensOstadi, H. / Arroyo, M. / Prewett, P.D. / Jiang, K.C. / Huq, S.E. et al. | 2008
- 1089
-
Antireflective nanostructured microlensesPäivänranta, Birgit / Baroni, Pierre-Yves / Scharf, Toralf / Nakagawa, Wataru / Kuittinen, Markku / Herzig, Hans Peter et al. | 2008
- 1092
-
Static contact micro four-point probes with <11nm positioning repeatabilityPetersen, Dirch H. / Hansen, Ole / Hansen, Torben M. / Petersen, Peter R.E. / Bøggild, Peter et al. | 2007
- 1096
-
Topology optimized electrothermal polysilicon microgrippersSardan, Ozlem / Petersen, Dirch H. / Mølhave, Kristian / Sigmund, Ole / Bøggild, Peter et al. | 2008
- 1100
-
Electro-osmotic characteristics of Polystyrene microchips – Experiments and modelingSchrott, Walter / Přibyl, Michal / Štěpánek, Jakub / Šnita, Dalimil et al. | 2008
- 1104
-
Miniaturized PMMA ball-valve micropump with cylindrical electromagnetic actuatorShen, Meng / Yamahata, Christophe / Gijs, Martin A.M. et al. | 2007
- 1108
-
Resistivity measurements of gold wires fabricated by stencil lithography on flexible polymer substratesSidler, K. / Vazquez-Mena, O. / Savu, V. / Villanueva, G. / van den Boogaart, M.A.F. / Brugger, J. et al. | 2007
- 1112
-
Efficient infrared emission from periodically patterned thin metal films on a Si photonic crystalTheodoni, P. / Bayiati, P. / Chatzichristidi, M. / Speliotis, Th. / Vamvakas, V. Em. / Raptis, I. / Papanikolaou, N. et al. | 2007
- 1116
-
Nanostructured oxides on porous silicon microhotplates for NH3 sensingTriantafyllopoulou, R. / Illa, X. / Casals, O. / Chatzandroulis, S. / Tsamis, C. / Romano-Rodriguez, A. / Morante, J.R. et al. | 2007
- 1120
-
Crystalline silicon cantilevers for piezoresistive detection of biomolecular forcesVillanueva, G. / Plaza, J.A. / Montserrat, J. / Perez-Murano, F. / Bausells, J. et al. | 2008
- 1124
-
Plasma processing for polymeric microfluidics fabrication and surface modification: Effect of super-hydrophobic walls on electroosmotic flowVourdas, N. / Tserepi, A. / Boudouvis, A.G. / Gogolides, E. et al. | 2007
- 1128
-
Electrothermal microgrippers for pick-and-place operationsAndersen, Karin. N. / Carlson, Kenneth / Petersen, Dirch H. / Mølhave, Kristian / Eichhorn, Volkmar / Fatikow, Sergej / Bøggild, Peter et al. | 2007
- 1131
-
Large area arrays of metal nanowiresAuzelyte, V. / Solak, H.H. / Ekinci, Y. / MacKenzie, R. / Vörös, J. / Olliges, S. / Spolenak, R. et al. | 2008
- 1135
-
Recent improvements in the integration of field emitters into scanning probe microscopy sensorsBeuer, S. / Rommel, M. / Petersen, S. / Amon, B. / Sulzbach, Th. / Engl, W. / Bauer, A.J. / Ryssel, H. et al. | 2008
- 1139
-
Improving the conductivity of platinum-containing nano-structures created by electron-beam-induced depositionBotman, A. / Hesselberth, M. / Mulders, J.J.L. et al. | 2007
- 1143
-
Chemical engineering of silicon oxide surfaces using micro-contact printing for localizing adsorption events of nanoparticles, dendrimers and bacteriaCau, Jean-Christophe / Cerf, Aline / Thibault, Christophe / Geneviève, Mike / Séverac, Childérick / Peyrade, Jean-Pierre / Vieu, Christophe et al. | 2008
- 1147
-
Nanofabrication of SiC templates for direct hot embossing for metallic photonic structures and meta materialsChen, Yifang / Zhou, Yun / Pan, Genhua / Huq, Ejaz / Lu, Bing-Rui / Xie, Shen-Qi / Wan, Jing / Shu, Zhen / Qu, Xin-Ping / Liu, Ran et al. | 2008
- 1152
-
Nanofabrication of spintronic devices with ultra small ferromagnetic contactsChen, Yifang / Zhou, Yun / Wang, Ling / Cui, Zheng / Huq, Ejaz / Pan, Genhua et al. | 2007
- 1157
-
In-line transmission electron microscopy for micro and nanotechnologies research and developmentDelaye, V. / Andrieu, F. / Aussenac, F. / Faynot, O. / Truche, R. / Carabasse, C. / Foucher, A.L. / Danel, A. / Chabli-Brenac, A. et al. | 2008
- 1162
-
Towards a LED based on a photonic crystal nanocavity for single photon sources at telecom wavelengthFrancardi, M. / Gerardino, A. / Balet, L. / Chauvin, N. / Bitauld, D. / Zinoni, C. / Li, L.H. / Alloing, B. / Le Thomas, N. / Houdré, R. et al. | 2007
- 1166
-
Electrical characterization of suspended Pt nanowires grown by EBID with water vapour assistanceGazzadi, G.C. / Frabboni, S. / Menozzi, C. / Incerti, L. et al. | 2008
- 1170
-
Hybrid polymer/semiconductor microtubes: A new fabrication approachGiordano, C. / Todaro, M.T. / Palumbo, M. / Blasi, L. / Errico, V. / Salhi, A. / Qualtieri, A. / Gigli, G. / Passaseo, A. / De Vittorio, M. et al. | 2008
- 1173
-
High aspect ratio GaAs nanowires made by ICP-RIE etching using Cl2/N2 chemistryJalabert, Laurent / Dubreuil, Pascal / Carcenac, Franck / Pinaud, Sébastien / Salvagnac, Ludovic / Granier, Hugues / Fontaine, Chantal et al. | 2008
- 1179
-
Selective etching of III–V nanowires for molecular junctionsKallesøe, Christian / Mølhave, Kristian / Mårtensson, Thomas / Hansen, Torben Mikael / Samuelson, Lars / Bøggild, Peter et al. | 2007
- 1182
-
Ab-initio study on parameter extraction for kinetic Monte Carlo simulation of neutral indium diffusion in uniaxially- and biaxially-strained siliconKim, Young-Kyu / Cho, Bum-Goo / Park, Soon-Yeol / Won, Taeyoung et al. | 2008
- 1186
-
Low aspect-ratio porous alumina templatesKokonou, M. / Rebholz, C. / Giannakopoulos, K.P. / Doumanidis, C.C. et al. | 2007
- 1189
-
Evaluation of nanomechanical, nanotribological and adhesive properties of ultrathin polymer resist film by AFMKoszewski, Adam / Rymuza, Zygmunt / Reuther, Freimut et al. | 2008
- 1193
-
Evaluation of surface roughness of ULE® substrates machined by Ar+ ion beamKurashima, Yuichi / Miyachi, Shuhei / Miyamoto, Iwao / Ando, Manabu / Numata, Atsushi et al. | 2008
- 1197
-
High-speed fabrication of large-area nanostructured optical devicesKurihara, Kazuma / Nakano, Takashi / Ikeya, Hirofumi / Ujiie, Mashiko / Tominaga, Junji et al. | 2007
- 1202
-
CVD oriented growth of carbon nanotubes using AlPO4-5 and L type zeolitesMartin, I. / Rius, G. / Atienzar, P. / Teruel, L. / Mestres, N. / Perez-Murano, F. / Garcia, H. / Godignon, P. / Corma, A. / Lora-Tamayo, E. et al. | 2008
- 1206
-
3-D stacked CMOS inverters using Pt/HfO2 on Si substrate for vertical integrated CMOS applicationsOh, Soon-Young / Ahn, Chang-Geun / Yang, Jong-Heon / Cho, Won-Ju / Jang, Moon-Gyu et al. | 2007
- 1210
-
Line edge roughness (LER) reduction strategy for SOI waveguides fabricationSardo, Stefano / Giacometti, Fabrizio / Doneda, Sergio / Colombo, Umberto / Muri, Melissa Di / Donghi, Anna / Morson, Romano / Mutinati, Giorgio / Nottola, Alessandro / Gentili, Massimo et al. | 2008
- 1214
-
Completely green one-step fabrication of gold patterned-flexible filmShiigi, Hiroshi / Yoshi, Naonobu / Yamamoto, Yojiro / Iwamoto, Masashi / Nagaoka, Tsutomu et al. | 2007
- 1218
-
Aligned quantum dot molecules with 4 satellite dots by self-assemblySiripitakchai, N. / Thet, C.C. / Panyakeow, S. / Kanjanachuchai, S. et al. | 2007
- 1222
-
Thermal oxidation of periodically aligned silicon square-spiralsSummers, M.A. / Brett, M.J. et al. | 2008
- 1225
-
Effective one-dimensional electronic structure of InGaAs quantum dot moleculesThudsalingkarnsakul, N. / Limwongse, T. / Siripitakchai, N. / Panyakeow, S. / Kanjanachuchai, S. et al. | 2007
- 1229
-
Fast thermal nanoimprint lithography by a stamp with integrated heaterTormen, Massimo / Malureanu, Radu / Pedersen, Rasmus Haugstrup / Lorenzen, Lasse / Rasmussen, Kristian Hagsted / Lüscher, Christopher James / Kristensen, Anders / Hansen, Ole et al. | 2008
- 1233
-
Oriented spontaneously formed nano-structures on poly(dimethylsiloxane) films and stamps treated in O2 plasmasTsougeni, Katerina / Boulousis, George / Gogolides, Evangelos / Tserepi, Angeliki et al. | 2008
- 1237
-
Reusability of nanostencils for the patterning of Aluminum nanostructures by selective wet etchingVázquez-Mena, O. / Villanueva, G. / van den Boogaart, M.A.F. / Savu, V. / Brugger, J. et al. | 2007
- 1241
-
Silicon Fresnel zone plates for high heat load X-ray microscopyVila-Comamala, J. / Jefimovs, K. / Raabe, J. / Kaulich, B. / David, C. et al. | 2008
- 1245
-
High-density plasma silicon oxide thin films grown at room-temperatureVlachopoulou, M.E. / Dimitrakis, P. / Tserepi, A. / Vamvakas, V.Em. / Koliopoulou, S. / Normand, P. / Gogolides, E. / Tsoukalas, D. et al. | 2008
- 1248
-
Electrical and structural characterisation of single ZnO nanorodsWeimann, Th. / Hinze, P. / Schlenker, E. / Bakin, A. / Mofor, A.C. / Behrends, A. / Waag, A. et al. | 2008
- 1253
-
Electrical properties of ErSi2 nanowires formed on Si substratesYokoyama, Satoshi / Katayama, Yusuke / Kobayashi, Tomohiro / Meguro, Takashi / Zhao, Xinwei et al. | 2008
- 1257
-
Narrow paths beyond limits of lithographyZaborowski, Michał / Grabiec, Piotr et al. | 2007
- 1261
-
Swelling of cross-linked polystyrene beads in tolueneZhang, R. / Cherdhirankorn, T. / Graf, K. / Koynov, K. / Berger, R. et al. | 2008
- 1265
-
Novel microsystems for concentration gradient generation through computer optimization with validation using optical instrumentationAbdulla Yusuf, Hayat / Baldock, Sara J. / Barber, Robert W. / Fielden, Peter R. / Goddard, Nick J. / Treves Brown, Bernard J. et al. | 2007
- 1269
-
Multi-reflection based on chip label free molecules detectionBillot, L. / Plecis, A. / Chen, Y. et al. | 2008
- 1272
-
Electrical characterization of human mesenchymal stem cell growth on microelectrodeCho, Sungbo / Thielecke, Hagen et al. | 2008
- 1275
-
Fabrication of silicon dioxide nanochannel arrays without nanolithography for manipulation of DNA moleculeCho, Y.H. / Lee, S.W. / Fujii, T. / Kim, B.J. et al. | 2008
- 1278
-
Multiplex polymerase chain reaction (PCR) on a SU-8 chipChristensen, Troels Balmer / Bang, Dang Doung / Wolff, Anders et al. | 2008
- 1282
-
Attomole (amol) myoglobin Raman detection from plasmonic nanostructuresDas, G. / Mecarini, F. / De Angelis, F. / Prasciolu, M. / Liberale, C. / Patrini, Maddalena / Di Fabrizio, E. et al. | 2007
- 1286
-
Fabrication and characterization of plasmonic nanolens for applications in biophotonicsDe Angelis, F. / Das, Gobind / Liberale, C. / Mecarini, F. / Matteucci, M. / Di Fabrizio, E. et al. | 2007
- 1289
-
Optimization of poly-di-methyl-siloxane (PDMS) substrates for studying cellular adhesion and motilityFuard, D. / Tzvetkova-Chevolleau, T. / Decossas, S. / Tracqui, P. / Schiavone, P. et al. | 2008
- 1294
-
Determination of particle distributions in microfluidic systems under the influence of electric fieldsHeeren, Andreas / Fleischer, Monika / Kern, Dieter et al. | 2008
- 1298
-
Cell proliferation assays on plasma activated SU-8Hennemeyer, Marc / Walther, Ferdinand / Kerstan, Sandra / Schürzinger, Katrin / Gigler, Alexander M. / Stark, Robert W. et al. | 2008
- 1302
-
Evaporation based micro pump integrated into a scanning force microscope probeHeuck, F. / Hug, T. / Akiyama, T. / Frederix, P.L.T.M. / Engel, A. / Meister, A. / Heinzelmann, H. / de Rooij, N.F. / Staufer, U. et al. | 2007
- 1306
-
Standardized bio-opto-fluidic chip technology using channel only processHo, Lai-Fun / Chollet, Franck et al. | 2008
- 1311
-
A new way to integrate solid state nanopores for translocation experimentsHuisman, E.M. / Biance, A.-L. / Madouri, A. / Patriarche, G. / Bourhis, E. / Oukhaled, G. / Auvray, L. / Gierak, J. et al. | 2008
- 1314
-
Polyimide microcantilever surface stress sensor using low-cost, rapidly-interchangeable, spring-loaded microprobe connectionsIbbotson, R.H. / Dunn, R.J. / Djakov, V. / Ferrigno, P. Ko / Huq, S.E. et al. | 2007
- 1318
-
Microfluidic devices for optical determination of ethanol concentrationLei, L. / Mattos, I.L. / Chen, Y. et al. | 2008
- 1321
-
Semi-empirical model for longitudinal piezoresistive sensitivity of microcantileversLishchynska, Maryna / Leı¨chlé, Thierry / Nicu, Liviu et al. | 2008
- 1326
-
APEX protocol implementation on a lab-on-a-chip for SNPs detectionMarasso, S.L. / Canavese, G. / Cocuzza, M. / Ferrarini, A. / Giuri, E. / Lo Bartolo, S. / Mantero, G. / Perrone, D. / Quaglio, M. / Vallini, I. et al. | 2007
- 1330
-
On-chip differentiation of human mesenchymal stem cells into adipocytesNi, X.F. / Crozatier, C. / Sensebé, L. / Langonne, A. / Wang, L. / Fan, Y. / He, P.G. / Chen, Y. et al. | 2008
- 1334
-
Improved glass–PDMS–glass device technology for accurate measurements of electro-osmotic mobilitiesPlecis, Adrien / Chen, Yong et al. | 2008
- 1337
-
Electrical detection of ovum membrane charges using biotransistorSakata, Toshiya / Makino, Izumi / Kita, Sayaka / Miyahara, Yuji et al. | 2008
- 1341
-
Contact force control of piezoresistive cantilevers with in-plane nanotips for femtoliter droplet depositionSaya, Daisuke / Leïchlé, Thierry / Pourciel, Jean-Bernard / Mathieu, Fabrice / Bergaud, Christian / Nicu, Liviu et al. | 2007
- 1346
-
Fabrication of nano-gold islands with mm spacing using 2.5 dimensional PDMS stampsSchwinger, W. / Lausecker, E. / Bergmair, I. / Grydlik, M. / Fromherz, T. / Hasenfu, C. / Schoftner, R. et al. | 2008
- 1346
-
Fabrication of nano-gold islands with μm spacing using 2.5 dimensional PDMS stampsSchwinger, Wolfgang / Lausecker, Elisabeth / Bergmair, Iris / Grydlik, Martyna / Fromherz, Thomas / Hasenfuß, Christine / Schöftner, Rainer et al. | 2008
- 1350
-
Biodegradable polymer tubes with lithographically controlled 3D micro- and nanotopographySeunarine, K. / Meredith, D.O. / Riehle, M.O. / Wilkinson, C.D.W. / Gadegaard, N. et al. | 2008
- 1355
-
Bead-based single protein micro-array realized through electrostatic self-assembly of carboxylated beadsSivagnanam, Venkataragavalu / Sayah, Abdeljalil / Gijs, Martin A.M. et al. | 2007
- 1359
-
Design and fabrication of a Si micromechanical capacitive array for DNA sensingTsouti, V. / Chatzandroulis, S. / Goustouridis, D. / Normand, P. / Tsoukalas, D. et al. | 2007
- 1362
-
Manufacturing substrate nano-grooves for studying cell alignment and adhesionvan Delft, F.C.M.J.M. / van den Heuvel, F.C. / Loesberg, W.A. / te Riet, J. / Schön, P. / Figdor, C.G. / Speller, S. / van Loon, J.J.W.A. / Walboomers, X.F. / Jansen, J.A. et al. | 2008
- 1367
-
Thermo-resistance based micro-calorimeter for continuous chemical enthalpy measurementsVelve Casquillas, G. / Bertholle, F. / Le Berre, M. / Meance, S. / Malaquin, L. / Greffet, J.J. / Chen, Y. et al. | 2007
- 1370
-
Nanostructured substrates for high density protein arraysZoller, Frank A. / Padeste, Celestino / Ekinci, Yasin / Solak, Harun H. / Engel, Andreas et al. | 2007
- 1375
-
Fabrication of 22nm T-gates for HEMT applicationsBentley, S. / Li, X. / Moran, D.A.J. / Thayne, I.G. et al. | 2008
- 1379
-
Rectifying behavior of individual SnO2 nanowire by different metal electrode contactsChen, Meimei / Xia, Xiaoxiang / Wang, Zongli / Li, Yunlong / Li, Junjie / Gu, Changzhi et al. | 2008
- 1382
-
Large asymmetries of magnetoresistance loops in Co-line structuresChristides, C. / Speliotis, Th. / Chatzichristidi, M. / Raptis, I. et al. | 2007
- 1386
-
Analysis of transient adsorption processes using micro/nanocantilever oscillatorsDjurić, Zoran G. / Jokić, Ivana M. / Frantlović, Miloš P. et al. | 2007
- 1390
-
Measurement of the resonant frequency of nano-scale cantilevers by hard contact readoutDohn, S. / Hansen, O. / Boisen, A. et al. | 2007
- 1395
-
Schottky barrier heights of n/p-type erbium-silicided schottky diodesJun, Myungsim / Kim, Yarkyeon / Choi, Cheljong / Kim, Taeyoub / Oh, Soonyoung / Jang, Moongyu et al. | 2007
- 1399
-
Vertical devices of self-assembled hybrid organic/inorganic monolayers based on tungsten polyoxometalatesMakarona, E. / Kapetanakis, E. / Velessiotis, D.M. / Douvas, A. / Argitis, P. / Normand, P. / Gotszalk, T. / Woszczyna, M. / Glezos, N. et al. | 2008
- 1403
-
Development of a STM compatible ion emitter capable of atomic imaging resolutionMartrou, D. / Gierak, J. et al. | 2008
- 1406
-
Prospects for logic-on-a-wireMoselund, K.E. / Bouvet, D. / Ben Jamaa, M.H. / Atienza, D. / Leblebici, Y. / De Micheli, G. / Ionescu, A.M. et al. | 2008
- 1410
-
Single-electron tunnelling via quantum dot cavities built on a silicon suspension nanobridgeOgi, Jun / Tsuchiya, Yoshishige / Oda, Shunri / Mizuta, Hiroshi et al. | 2008
- 1413
-
Characterization at the nanometer scale of local electron beam irradiation of CNT based devicesRius, G. / Verdaguer, A. / Chaves, F.A. / Martín, I. / Godignon, P. / Lora-Tamayo, E. / Jiménez, D. / Pérez-Murano, F. et al. | 2007
- 1417
-
Fabrication of Bragg gratings with deep grooves in LiNbO3 ridge optical waveguideSuzuki, A. / Iwamoto, T. / Enokihara, A. / Murata, H. / Okamura, Y. et al. | 2007
- 1421
-
Design and simulation of a tunable photonic band gap filterThubthimthong, B. / Chollet, F. et al. | 2008
- 1425
-
Fabrication and characterization of Ta2O5 photonic feedback structuresWahlbrink, T. / Bolten, J. / Mollenhauer, T. / Kurz, H. / Baumann, K. / Moll, N. / Stöferle, T. / Mahrt, R.F. et al. | 2008
- 1429
-
Electron beam size determination based on an intelligent substrateWeigand, Helmut / Fleischer, Monika / Kern, Dieter P. et al. | 2007
- 1433
-
Fabrication of terahertz metamaterials using S1813/LOR stack by lift-offXia, Xiaoxiang / Yang, Haifang / Sun, Yimin / Wang, Zongli / Wang, Li / Cui, Zheng / Gu, Changzhi et al. | 2008
- 1437
-
A miniaturized RMS voltage sensor based on a torsional actuator in bulk silicon technologyDittmer, Jan / Judaschke, Rolf / Büttgenbach, Stephanus et al. | 2008
- 1440
-
A versatile sample injection system for miniaturised isotachophoresis devicesBaldock, S.J. / Fielden, P.R. / Goddard, N.J. / Kretschmer, H.R. / Prest, J.E. / Brown, B.J. Treves et al. | 2008
- 1443
-
Visualizing stress in silicon micro cantilevers using scanning confocal Raman spectroscopyBauer, M. / Gigler, A.M. / Richter, C. / Stark, R.W. et al. | 2008
- 1447
-
A novel SLS ELA crystallization process and its effects on polysilicon film defectivity and TFT performanceMoschou, Despina C. / Exarchos, M.A. / Kouvatsos, D.N. / Papaioannou, G.J. / Voutsas, A.T. et al. | 2008
- 1453
-
Computationally efficient modelling of pattern dependencies in the micro-embossing of thermoplastic polymersTaylor, Hayden / Boning, Duane / Iliescu, Ciprian / Chen, Bangtao et al. | 2008
- 1457
-
Author Index| 2008
- IFC
-
Inside Front Cover - Editorial Board| 2008
- iii
-
Table of Contents| 2008