Precise and high-throughput femtopulse laser mask repair of large defects [6349-171] (English)
- New search for: White, R.
- New search for: LeClaire, J.
- New search for: Robinson, T.
- New search for: Dinsdale, A.
- New search for: Bozak, R.
- New search for: Lee, D. A.
- New search for: Society of Photo-optical Instrumentation Engineers
- New search for: White, R.
- New search for: LeClaire, J.
- New search for: Robinson, T.
- New search for: Dinsdale, A.
- New search for: Bozak, R.
- New search for: Lee, D. A.
- New search for: Martin, Patrick M.
- New search for: Naber, Robert J.
- New search for: Society of Photo-optical Instrumentation Engineers
In:
Photomask technology
;
63494F
;
2006
-
ISBN:
-
ISSN:
- Conference paper / Print
-
Title:Precise and high-throughput femtopulse laser mask repair of large defects [6349-171]
-
Contributors:White, R. ( author ) / LeClaire, J. ( author ) / Robinson, T. ( author ) / Dinsdale, A. ( author ) / Bozak, R. ( author ) / Lee, D. A. ( author ) / Martin, Patrick M. / Naber, Robert J. / Society of Photo-optical Instrumentation Engineers
-
Conference:Conference, Photomask technology ; 2006 ; Monterey, CA
-
Published in:Photomask technology ; 63494F
-
Publisher:
- New search for: SPIE
-
Publication date:2006-01-01
-
Size:63494F
-
ISBN:
-
ISSN:
-
Type of media:Conference paper
-
Type of material:Print
-
Language:English
-
Keywords:
-
Source:
© Metadata Copyright the British Library Board and other contributors. All rights reserved.
Table of contents conference proceedings
The tables of contents are generated automatically and are based on the data records of the individual contributions available in the index of the TIB portal. The display of the Tables of Contents may therefore be incomplete.
- 63490A
-
Controlling CD uniformity for 45nm technology node applicationsPlumhoff, J. / Srinivasan, S. / Westerman, R. / Johnson, D. / Constantine, C. et al. | 2006
- 63490B
-
Mask CD correction method using dry-etch processJung, Ho Yong / Ha, Tae Joong / Shin, Jae Cheon / Jeong, Ku Cheol / Kim, Young Kee / Han, Oscar et al. | 2006
- 63490C
-
The study of optical performance for quartz dry etching quality in ArF lithographyAhn, Won-Suk / Lee, Hye-Kyung / Park, Young-Ju / Kwon, Hyuk-Joo / Choi, Seong-Woon / Han, Woo-Sung et al. | 2006
- 63490D
-
Mask complexity reduction, quality assurance, and yield improvement through reduced layout variabilityBalasinski, A. / Cetin, J. et al. | 2006
- 63490E
-
Litho-friendly design (LfD) methodologies applied to library cellsPeter, Kai / März, Reinhard / Gröndahl, Sonja / Maurer, Wilhelm et al. | 2006
- 63490F
-
Integrated DFM framework for dynamic yield optimizationPikus, Fedor G. et al. | 2006
- 63490G
-
Application of Dosemapper for 65-nm gate CD control: strategies and resultsJeewakhan, Nazneen / Shamma, Nader / Choi, Sang-Jun / Alvarez, Roque / Son, D. H. / Nakamura, Makoto / Pici, Vinny / Schreiber, Jim / Tzeng, Wei-shun / Ang, Sean et al. | 2006
- 63490H
-
Fast dual graph-based hotspot detectionKahng, Andrew B. / Park, Chul-Hong / Xu, Xu et al. | 2006
- 63490I
-
Multi-layer resist system for 45-nm-node and beyond: part IHashimoto, M. / Shiratori, H. / Horii, K. / Yokoya, Y. / Ohkubo, Y. / Takamizawa, H. / Fujimura, Y. / Morimoto, J. / Manoshiro, A. / Shimizu, M. et al. | 2006
- 63490J
-
Process window enhancement for 45-nm node using alterable transmission phase-shifting materialsBecker, Hans / Renno, Markus / Hess, Guenter / Buttgereit, Ute / Koepernik, Corinna / Nedelmann, Lorenz / Irmscher, Mathias / Birkner, Robert / Zibold, Axel / Scheruebl, Thomas et al. | 2006
- 63490K
-
Optical issues of thin organic pellicles in 45-nm and 32-nm immersion lithographyLucas, Kevin / Gordon, Joseph S. / Conley, Will / Saied, Mazen / Warrick, Scott / Pochkowski, Mike / Smith, Mark D. / West, Craig / Kalk, Franklin / Kuijten, Jan Pieter et al. | 2006
- 63490L
-
Feasibility study of embedded binary masksCangemi, Michael / Philipsen, Vicky / Leunissen, Leonardus H. A. / Taylor, Darren et al. | 2006
- 63490M
-
Contact hole CD and profile metrology of binary and phase shift masks: effect of modeling strategies in application of scatterometeryLee, Kyung-man / Yedur, Sanjay / Tavassoli, Malahat / Baik, Kiho / Tabet, Milad et al. | 2006
- 63490N
-
Improved prediction of across chip linewidth variation (ACLV) with photomask aerial image CD metrologyPoortinga, Eric / Zibold, Axel / Conley, Will / Litt, Lloyd C. / Kasprowicz, Bryan / Cangemi, Michael et al. | 2006
- 63490O
-
Design-based mask metrology hot spot classification and recipe making through random pattern recognition methodCui, Ying / Baik, Kiho / Gleason, Bob / Tavassoli, Malahat et al. | 2006
- 63490P
-
Determination of spatial CD signatures on photomasksUtzny, Clemens / Rößiger, Martin et al. | 2006
- 63490R
-
Analysis of optical lithography capabilities of pixelized photomasks and spatial light modulatorsLatypov, Azat et al. | 2006
- 63490S
-
High-resolution mask inspection in advanced fabMaelzer, Stephanie / Poock, Andre / Reese, Bryan / Bhattacharyya, Kaustuve / Mirzaagha, Farzin / Cox, Stephen / Lang, Michael et al. | 2006
- 63490T
-
Limitations of optical reticle inspection for 45-nm node and beyondTeuber, S. / Bzdurek, A. / Dürr, A. C. / Heumann, J. / Holfeld, C. et al. | 2006
- 63490U
-
Wafer fab mask qualification techniques and limitationsPoock, Andre / Maelzer, Stephanie / Spence, Chris / Tabery, Cyrus / Lang, Michael / Schnasse, Guido / Peikert, Milko / Bhattacharyya, Kaustuve et al. | 2006
- 63490V
-
Variable shaped beam writing throughput at the 45nm node and beyondSowers, A. / Shumway, M. / Kamna, M. / Wilcox, N. / Vernon, M. / Cole, D. / Chandramouli, M. et al. | 2006
- 63490X
-
Study of the beam blur and its effect on the future mask fabricationLee, Sanghee / Park, Sungho / Ahn, Mihye / Doh, Jonggul / Kim, Sungyoon / Kim, Byunggook / Choi, Seongwoon / Han, Woosung et al. | 2006
- 63490Y
-
Improved photomask accuracy with a high-productivity DUV laser pattern generatorÖström, Thomas / Måhlén, Jonas / Karawajczyk, Andrzej / Rosling, Mats / Carlqvist, Per / Askebjer, Per / Karlin, Tord / Sallander, Jesper / Österberg, Anders et al. | 2006
- 63490Z
-
Metrics to assess fracture quality for variable shaped beam lithographyBloecker, M. / Gladhill, R. / Buck, P. D. / Kempf, M. / Aguilar, D. / Cinque, R. B. et al. | 2006
- 63491A
-
Imaging behavior of high-transmission attenuating phase-shift mask filmsHibbs, Michael / Nemoto, Satoru / Komizo, Toru et al. | 2006
- 63491B
-
Optical properties of alternating phase-shifting masksGleason, Bob / Cheng, Wen-Hao et al. | 2006
- 63491C
-
Optimization of process window simulations for litho-friendly design frameworkAl-Imam, Mohamed / Torres, Andres / Brunet, Jean-Marie / Fakhry, Moutaz / Fathy, Rami et al. | 2006
- 63491D
-
Impact of AFM scan artifacts on photolithographic simulationRobinson, Tod / Lewellen, John / Lee, David A. / Brooker, Peter et al. | 2006
- 63491E
-
Advanced photomask repair technology for 65-nm lithographyAramaki, Fumio / Kozakai, Tomokazu / Muramatsu, Masashi / Sugiyama, Yasuhiko / Koyama, Yoshihiro / Matsuda, Osamu / Suzuki, Katsumi / Okabe, Mamoru / Doi, Toshio / Hagiwara, Ryoji et al. | 2006
- 63491G
-
Mask repair using layout-based pattern copy for the 65-nm node and beyondBoegli, Volker / Auth, Nicole / Hofmann, Uli et al. | 2006
- 63491H
-
A new model of haze generation and storage-life-time estimation for maskShimada, S. / Kanda, N. / Takahashi, N. / Nakajima, H. / Tanaka, H. / Ishii, H. / Shoji, Y. / Otsuki, M. / Naito, A. / Hayashi, N. et al. | 2006
- 63491I
-
Real-time monitoring based on comprehensive analysis of the haze environment under the pellicle filmChoi, Jaehyuck / Lee, Seungyeon / Kim, Eunjung / Nam, Il-woo / Cha, Byung-Cheol / Choi, Seong-Woon / Han, Woo-Sung et al. | 2006
- 63491J
-
Sulfur-free cleaning strategy for advanced mask manufacturingKindt, Louis / Watts, Andrew / Burnham, Jay / Aaskov, William et al. | 2006
- 63491K
-
Simulation of critical dimension and profile metrology based on scatterometry methodChalykh, Roman / Pundaleva, Irina / Kim, SeongSue / Cho, Han-Ku / Moon, Joo-Tae et al. | 2006
- 63491L
-
Segmentation-assisted edge extraction algorithms for SEM imagesFeng, Hanying / Ye, Jun / Pease, R. Fabian et al. | 2006
- 63491M
-
Analysis of the Vistec LMS IPRO3 performance and accuracy enhancement techniquesAntesberger, Gunter / Knoth, Sven / Laske, Frank / Rudolf, Jens / Cotte, Eric / Alles, Benjamin / Bläsing, Carola / Fricke, Wolfgang / Rinn, Klaus et al. | 2006
- 63491N
-
CD measurement evaluation on periodic patterns between optic tools and CD-SEMChoi, Yongkyoo / Kim, Munsik / Oh, Sunghyun / Han, Oscar et al. | 2006
- 63491O
-
Fast nondestructive optical measurements of critical dimension uniformity and linearity on AEI and ASI phase-shift masksGray, Alexander / Lam, John C. et al. | 2006
- 63491P
-
Extension of 193 nm dry lithography to 45-nm half-pitch node: double exposure and double processing techniqueBiswas, Abani M. / Li, Jianliang / Hiserote, Jay A. / Melvin, Lawrence S. et al. | 2006
- 63491Q
-
Identification of subresolution assist features that are susceptible to imaging through processMelvin, Lawrence S. / Drapeau, Martin / Huang, Jensheng et al. | 2006
- 63491R
-
A fresh look at the cell-wise process effect correctionsLapanik, Dmitri et al. | 2006
- 63491S
-
Phase-shift reticle design impact on patterned linewidth variation and LWRVasek, Jim / Fu, Chong-Cheng / Chen, Gong et al. | 2006
- 63491T
-
Alternating PSM for sub-60-nm DRAM gate single exposureChen, Kunyuan / Lu, Richard / Fu, Kuo Kuei / Hsia, ChungPing / Shih, Chiang-Lin / Lin, JengPing et al. | 2006
- 63491U
-
Feasibility study of mask fabrication in double exposure technologyDoh, Jong Gul / Lee, Sang Hee / Yoon, Je Bum / Lee, Doo Youl / Cho, Seong Yong / Kim, Byung Gook / Choi, Seong Woon / Han, Woo Sung et al. | 2006
- 63491V
-
High-transmission attenuated phase-shift mask for ArF immersion lithographyKojima, Yosuke / Mizoguchi, Takashi / Haraguchi, Takashi / Konishi, Toshio / Okuda, Yoshimitsu et al. | 2006
- 63491W
-
Mastering double exposure process window aware OPC by means of virtual targetsHaffner, Henning / Baum, Zachary / Fonseca, Carlos / Halle, Scott / Liebmann, Lars / Mahorowala, Arpan et al. | 2006
- 63491X
-
Finding the needle in the haystack: using full-chip process window analysis to qualify competing SRAF placement strategies for 65 nmMason, Mark / Best, Shane / Zhang, Gary / Terry, Mark / Soper, Robert et al. | 2006
- 63491Y
-
Present challenges and solutions in sampling and correction for 45 nmGraur, Ioana / Al-Imam, Mohamed / LaCour, Pat et al. | 2006
- 63491Z
-
PPC model build methodology: sequential litho and etch verificationMokhberi, Ali / Kamat, Vishnu / Sezginer, Apo / Zach, Franz X. / Perçin, Gökhan / Carrero, Jesus / Huang, Hsu-Ting et al. | 2006
- 63492B
-
A procedure and program to calculate shuttle mask advantageBalasinski, A. / Cetin, J. / Kahng, A. / Xu, X. et al. | 2006
- 63492C
-
NIL template making and imprint evaluationYoshida, Yuuichi / Kobiki, Ayumi / Hiraka, Takaaki / Yusa, Satoshi / Sasaki, Shiho / Itoh, Kimio / Toyama, Nobuhito / Kurihara, Masaaki / Mohri, Hiroshi / Hayashi, Naoya et al. | 2006
- 63492D
-
Direct die-to-database electron-beam inspection of fused silica imprint templatesTsuneoka, M. / Hasebe, T. / Tokumoto, T. / Yan, C. / Yamamoto, M. / Resnick, D. J. / Thompson, E. / Wakamori, H. / Inoue, M. / Ainley, Eric et al. | 2006
- 63492F
-
PMJ 2006 panel discussion review: Mask technologies for EUVLSugawara, Minoru / Sano, Hisatake et al. | 2006
- 63492G
-
Manufacturing of the first EUV full-field scanner maskDersch, Uwe / Buettner, Rico / Chovino, Christian / Franz, Steffen / Heins, Torben / Herguth, Holger / Peters, Jan Hendrik / Rode, Thomas / Letzkus, Florian / Butschke, Joerg et al. | 2006
- 63492H
-
Simplified model for absorber feature transmissions on EUV masksLam, Michael C. / Neureuther, Andrew R. et al. | 2006
- 63492I
-
CD and profile metrology of EUV masks using scatterometry based optical digital profilometryCho, Sung-yong / Yedur, Sanjay / Kwon, Michael / Tabet, Milad et al. | 2006
- 63492J
-
Development of EUVL mask blank in AGCSugiyama, Takashi / Kojima, Hiroshi / Ito, Masabumi / Otsuka, Kouji / Yokoyama, Mika / Mikami, Masaki / Hayashi, Kazuyuki / Matsumoto, Katsuhiro / Kikugawa, Shinya et al. | 2006
- 63492K
-
Point cleaning of mask blanks for extreme ultraviolet lithographyBrown, Mike / Hartley, John / Eichenlaub, Sean / Rastegar, Abbas / Marmillion, Patricia / Roessler, Ken et al. | 2006
- 63492L
-
EUV mask pattern inspection for memory mask fabrication in 45-nm node and belowKim, Do Young / Cho, Seong Yong / Kim, Hun / Huh, Sung Min / Chung, Dong Hoon / Cha, Byung Chul / Lee, Jung Woo / Choi, Seong Woon / Han, Woo Sung / Park, Ki Hun et al. | 2006
- 63492M
-
Multilayer defects nucleated by substrate pits: a comparison of actinic inspection and non-actinic inspection techniquesBarty, A. / Goldberg, K. A. / Kearney, P. / Rekawa, S. B. / LaFontaine, B. / Wood, O. / Taylor, J. S. / Han, H.-S. et al. | 2006
- 63492O
-
The study of chromeless phase lithography (CPL) for 45nm lithographyTan, Soon Yoeng / Lin, Qunying / Tay, Cho Jui / Quan, Chenggen et al. | 2006
- 63492P
-
A novel approach for hot-spot removal for sub-100nm manufacturingMa, Melody / Anderson, Melissa / Lai, Weinong / Wu, Clive / Tsao, Becky / Chu, Chih-wei / Lin, Char / Chou, Jacky / Tsai, Sidney et al. | 2006
- 63492Q
-
A novel Alt-PSM structure: isn't this an embedded Atten-PSM?Nakao, S. / Hosono, K. / Maejima, S. / Narimatsu, K. / Hanawa, T. / Suko, K. et al. | 2006
- 63492R
-
Real-time ultra-sensitive ambient ammonia monitor for advanced lithographyCrosson, Eric / Nishimura, Katsumi / Sakaguchi, Yuhei / Rella, Chris W. / Wahl, Edward et al. | 2006
- 63492S
-
Qualitative analysis of haze defectsChoi, Jaehyuck / Koh, Soowan / Ji, Sunghun / Cha, Byung-Cheol / Choi, Seong-Woon / Han, Woo-Sung et al. | 2006
- 63492T
-
Haze detection and haze-induced process latitude variation for low-k1193 nm lithographyKim, Sung-Jin / Park, Jin-Baek / Kim, Sung-Hyuck / Kang, Hye-Young / Kang, Young-Min / Park, Seung-Wook / An, Ilsin / Oh, Hye-Keun et al. | 2006
- 63492U
-
Non-chemical cleaning technology for sub-90nm design node photomask manufacturingHoyeh, Star / Chen, Richard / Kozuma, Makoto / Kuo, Joann / Huang, Torey / Chen, Frank F. et al. | 2006
- 63492V
-
Novel cleaning techniques to achieve defect-free photomasks for sub-65-nm nodesRyu, Jin Ho / Lee, Dong Wook / Ryu, Ji Sun / Kim, Sang Pyo / Han, Oscar et al. | 2006
- 63492W
-
Cleaning of MoSi multilayer mask blanks for EUVLKapila, Vivek / Rastegar, Abbas / Ikuta, Yoshiaki / Eichenlaub, Sean / Marmillion, Pat et al. | 2006
- 63492X
-
Mechanism of megasonic damages for micropatternsSuwa, Y. / Shimada, S. / Shigihara, A. / Ishii, H. / Shoji, Y. / Otsuki, M. / Naito, A. / Sasaki, S. / Mohri, H. / Hayashi, N. et al. | 2006
- 63492Z
-
An effective layout optimization method via LFD conceptWang, Ching-Heng / Deng, Zexi / Gao, Gensheng / Hung, Chi-Yuan et al. | 2006
- 63493A
-
Evaluation of bi-layer TaSix absorber on buffer for EUV maskKanayama, Koichiro / Tamura, Shinpei / Nishiyama, Yasushi / Kawashita, Masashi / Matsuo, Tadashi / Tamura, Akira / Nagashige, Susumu / Hiruma, Kenji / Goo, Doohoon / Nishiyama, Iwao et al. | 2006
- 63493B
-
Measuring force uniformity during electrostatic chucking of EUVL masksSohn, Jaewoong / Veerarghavan, Sathish / Turner, Kevin T. / Engelstad, Roxann L. / Van Peski, Chris K. et al. | 2006
- 63493C
-
Dependency of EUV mask defects on substrate defectsEichenlaub, Sean / Rastegar, Abbas / Kapila, Vivek / Ikuta, Yoshiaki / Marmillion, Pat et al. | 2006
- 63493D
-
Rigorous FEM simulation of EUV masks: influence of shape and material parametersPomplun, Jan / Burger, Sven / Schmidt, Frank / Zschiedrich, Lin / Scholze, Frank / Laubis, Christian / Dersch, Uwe et al. | 2006
- 63493E
-
Interaction forces on mask surfaces relevant to EUV lithographyHübner, R. / Eichenlaub, S. / Rastegar, A. / Geer, R. et al. | 2006
- 63493G
-
Process development for EUV mask productionAbe, Tsukasa / Fujii, Akiko / Sasaki, Shiho / Mohri, Hiroshi / Hayashi, Naoya / Shoki, Tsutomu / Yamada, Takeyuki / Nozawa, Osamu / Ohkubo, Ryo / Ushida, Masao et al. | 2006
- 63493I
-
OPC to account for thick mask effect using simplified boundary layer modelKim, Sangwook / Kim, Young-Chang / Suh, Sungsoo / Lee, Sook / Lee, Sungwoo / Lee, Sukjoo / Cho, Hanku / Moon, Jootae / Cobb, Jonathan / Lee, Sooryong et al. | 2006
- 63493J
-
45-32-nm node photomask technology with water immersion lithographyAdachi, Takashi / Inazuki, Yuichi / Sutou, Takanori / Morikawa, Yasutaka / Toyama, Nobuhito / Mohri, Hiroshi / Hayashi, Naoya et al. | 2006
- 63493K
-
Study of chromeless mask quartz defect detection capability for 80-nm post structureLu, Jerry / Wang, Boster / Chen, Frank F. / Wang, Orion / Chou, Jomarch / Lin, Orson / Cheng, Jackie / Chen, Ellison / Yu, Paul et al. | 2006
- 63493L
-
Single pass die-to-database tritone reticle inspection capabilityReese, Bryan / Heumann, Jan / Schmidt, Norbert et al. | 2006
- 63493M
-
Development of next-generation mask inspection method by using the feature of mask image captured with 199-nm inspection opticsTsuji, Yoshitake / Kikuiri, Nobutaka / Murakami, Shingo / Takahara, Kenichi / Isomura, Ikunao / Tamura, Yukio / Yamashita, Kyoji / Hirano, Ryoichi / Tateno, Motonari / Matsumura, Kenichi et al. | 2006
- 63493N
-
A cost model comparing image qualification using test wafer and direct mask inspectionBhattacharyya, Kaustuve / Hazari, Viral / Sutherland, Doug / Higashiki, Tatsuhiko et al. | 2006
- 63493O
-
Inspectability and printability of lines and spaces halftone masks for the advanced DRAM nodeDürr, Arndt C. / Gutjahr, Karsten / Heumann, Jan / Stengl, Martin / Katzwinkel, Frank / Frangen, Andreas / Witte, Thomas et al. | 2006
- 63493P
-
Mask defect imaging system using backscattered electron imagesTakahashi, Katsuyuki / Ataka, Masashi / Namae, Takao et al. | 2006
- 63493Q
-
Optimization of development process using after develop inspection in mask manufacturingKim, Hyun Young / Hwang, Dae Ho / Kim, Sang Pyo / Han, Oscar / Park, Ki Hun / Kim, Nam Wook / Kim, David et al. | 2006
- 63493R
-
Defining defect specifications to optimize photomask production and requalificationFiekowsky, Peter et al. | 2006
- 63493S
-
The automatic back-check mechanism of mask tooling database and automatic transmission of mask tooling dataXu, Zhe / Peng, M. G. / Tu, Lin Hsin / Lee, Cedric / Lin, J. K. / Jan, Jian Feng / Yin, Alb / Wang, Pei et al. | 2006
- 63493T
-
Distributed computing in mask data preparation for 45-nm node and belowZhang, Weidong / Sahouria, Emile / Schulze, Steffen et al. | 2006
- 63493U
-
Incoming database verification and management for mask data preparationChen, Frank F. / Lee, Casper W. / Lin, Jason C. et al. | 2006
- 63493V
-
Parallel processing of layout data with selective data distributionPereira, Mark / Bhat, Nitin / Srinivas, Preethi et al. | 2006
- 63493W
-
Advanced manufacturing rules check (MRC) for fully automated assessment of complex reticle designs: Part IIStraub, J. A. / Aguilar, D. / Buck, P. D. / Dawkins, D. / Gladhill, R. / Nolke, S. / Riddick, J. et al. | 2006
- 63493X
-
Load balancing using DP management server for commercial MDP softwareKim, Jong-Won / Ki, Won-Tai / Jang, Sung-Hoon / Choi, Ji-Hyun / Choi, Seong-Woon / Han, Woo-Sung et al. | 2006
- 63493Z
-
Advanced CD AFM metrology for 3D critical shape and dimension control of photomask etch processingBao, Tianming / Zerrade, Azeddine et al. | 2006
- 63494A
-
A general framework for multi-flow multi-layer multi-project reticles designKahng, Andrew B. / Xu, Xu et al. | 2006
- 63494B
-
CP mask optimization for enhancing the throughput of MCC systemsSugihara, Makoto / Nakamura, Kenta / Matsunaga, Yusuke / Murakami, Kazuaki et al. | 2006
- 63494C
-
Evaluation of writing strategy with one and two pass on OPC technology using EBM writing systemTseng, Chen-Rui / Cheng, Kevin / Lee, David / Yang, Sheng-Bay / Wu, Chun-Hung et al. | 2006
- 63494D
-
Self-aligned resist patterning by backside flood exposure in photomaskHa, Taejoong / Gyun, Byunggu / Han, Oscar et al. | 2006
- 63494F
-
Precise and high-throughput femtopulse laser mask repair of large defectsWhite, Roy / LeClaire, Jeff / Robinson, Tod / Dinsdale, Andrew / Bozak, Ron / Lee, David A. et al. | 2006
- 63494G
-
Advanced femtosecond DUV laser mask repair tool for large area photomasksTreyger, Leon / Heyl, Jon / Fink, Michael / Koren, Iztok / Li, Yonggang / Ronning, Donald / Small, Farrell / Xian, Bin et al. | 2006
- 63494H
-
The specification of the 45-nm node photomask repair processSung, Moon Gyu / Huh, Sungmin / Cha, Byung Cheol / Choi, Sungwoon / Han, Woosung et al. | 2006
- 63494I
-
Thermal modeling of photomask precision baking systemMatsubara, Koji / Kobayashi, Mutsuo / Rack, Simon / Miyazaki, Shinsuke / Ikeda, Toshiya et al. | 2006
- 63494J
-
More evolved PGSD (proximity gap suction developer) for controlling movement of dissolution productsSakurai, Hideaki / Oppata, Yukio / Murano, Koji / Sakai, Mari / Itoh, Masamitsu / Watanabe, Hidehiro / Funakoshi, Hideo / Ooishi, Kotaro / Okamoto, Yoshiki / Kaneda, Masatoshi et al. | 2006
- 63494K
-
No-forbidden-pitch SRAF rules for advanced contact lithographyWang, Ching-Heng / Liu, Qingwei / Zhang, Liguo / Hung, Chi-Yuan et al. | 2006
- 63494L
-
Accounting for lens aberrations in OPC model calibrationDepre, Laurent / Cork, Christopher / Drapeau, Martin et al. | 2006
- 63494M
-
Correlation between OPC model accuracy and image parametersKallingal, Chidam / Chen, Norman et al. | 2006
- 63494N
-
Minimizing yield-loss risks through post-OPC verificationWang, Ching-Heng / Liu, Qingwei / Zhang, Liguo / Gao, Gen-Sheng / Brist, Travis E. / Donnelly, Tom / Shang, Shumay et al. | 2006
- 63494O
-
The effect of sub-layer condition on the OPC modelChoi, Jaeyoung / Kang, Jaehyun / Shim, Yeonah / Yun, Kyunghee / Lee, Junseok / Lee, Yongseok / Kim, Keeho et al. | 2006
- 63494P
-
Efficient approach to improving pattern fidelity with multi-OPC model and recipeDo, Munhoe / Kang, Jaehyun / Choi, Jaeyoung / Lee, Junseok / Lee, Yongsuk / Kim, Keeho et al. | 2006
- 63494Q
-
Model-based lithography verification using the new manufacturing sensitivity modelZhang, Daniel / Melvin, Lawrence et al. | 2006
- 63494R
-
On objectives and algorithms of inverse methods in microlithographyGranik, Yuri / Sakajiri, Kyohei / Shang, Shumay et al. | 2006
- 63494S
-
Auxiliary pattern for cell-based OPCKahng, Andrew B. / Park, Chul-Hong et al. | 2006
- 63494T
-
Inverse lithography technology at low k1: placement and accuracy of assist featuresMoore, Andrew / Lin, Timothy / Liu, Yong / Russell, Gordon / Pang, Linyong / Abrams, Daniel et al. | 2006
- 63494U
-
Parametric uncertainty in optical image modelingPotzick, James / Marx, Egon / Davidson, Mark et al. | 2006
- 63494V
-
Illumination optimization for 65nm technology nodeWang, Ching-Heng / Liu, Qingwei / Zhang, Liguo / Hung, Chi-Yuan et al. | 2006
- 63494W
-
Deep subwavelength mask assist features and mask errors printability in high NA lithographyCheng, Wen-Hao / Lee, Mindy / Tolani, Vikram / Nakahma, Mark / Gleason, Bob et al. | 2006
- 63494X
-
Beyond rule-based physical verificationHoppe, Wolfgang / Roessler, Thomas / Torres, J. Andres et al. | 2006
- 63494Y
-
Theoretical modelling and experimental verification of the influence of Cr edge profiles on microscopic-optical edge signals for COG masksEhret, Gerd / Bodermann, Bernd / Bergmann, Detlef / Diener, Alexander / Häßler-Grohne, Wolfgang et al. | 2006
- 63494Z
-
Rigorous simulation of 3D masksBurger, Sven / Köhle, Roderick / Zschiedrich, Lin / Nguyen, Hoa / Schmidt, Frank / März, Reinhard / Nölscher, Christoph et al. | 2006
- 634902
-
Mask industry assessment: 2006Shelden, Gilbert / Marmillion, Patricia et al. | 2006
- 634902
-
Mask industry assessment: 2006 [6349-02]Shelden, G. / Marmillion, P. / Society of Photo-optical Instrumentation Engineers et al. | 2006
- 634904
-
A model to predict the critical velocity for liquid loss from a receding meniscusShedd, Timothy A. / Schuetter, Scott D. / Nellis, Gregory F. / Van Peski, Chris K. et al. | 2006
- 634904
-
A model to predict the critical velocity for liquid loss from a receding meniscus [6349-04]Shedd, T. A. / Schuetter, S. D. / Nellis, G. F. / Van Peski, C. K. / Society of Photo-optical Instrumentation Engineers et al. | 2006
- 634905
-
Chemical flare long-range proximity effects in photomask manufacturing with chemically amplified resists [6349-05]Sullivan, D. / Okawa, Y. / Sugawara, K. / Benes, Z. / Kotani, J. / Society of Photo-optical Instrumentation Engineers et al. | 2006
- 634905
-
Chemical flare long-range proximity effects in photomask manufacturing with chemically amplified resistsSullivan, Daniel / Okawa, Yusuke / Sugawara, Kazuhiko / Benes, Zdenek / Kotani, Jun et al. | 2006
- 634906
-
PAB and PEB temperature gradient methodology for CAR optimization [6349-06]Dam, T. H. / Jamieson, A. / Lu, M. / Baik, K.-H. / Society of Photo-optical Instrumentation Engineers et al. | 2006
- 634906
-
PAB and PEB temperature gradient methodology for CAR optimizationDam, Thuc H. / Jamieson, Andrew / Lu, Maiying / Baik, Ki-Ho et al. | 2006
- 634907
-
An ultra-uniform ultra-thin resist deposition processPicard, Gilles / Schneider, Juan / Grenon, Brian J. et al. | 2006
- 634907
-
An ultra-uniform ultra-thin resist deposition process [6349-07]Picard, G. / Schneider, J. / Grenon, B. J. / Society of Photo-optical Instrumentation Engineers et al. | 2006
- 634909
-
A novel process of etching EUV masks for future generation technology [6349-20!]Wu, B. / Kumar, A. / Chandrachood, M. / Ibrahim, I. / Sabharwal, A. / Society of Photo-optical Instrumentation Engineers et al. | 2006
- 634909
-
A novel process of etching EUV masks for future generation technologyWu, Banqiu / Kumar, Ajay / Chandrachood, Madhavi / Ibrahim, Ibrahim / Sabharwal, Amitabh et al. | 2006
- 634910
-
Process results using automatic pitch decomposition and double patterning technology (DPT) at k1eff <0.20Huckabay, Judy / Staud, Wolf / Naber, Robert / van Oosten, Anton / Nikolski, Peter / Hsu, Stephen / Socha, R. J. / Dusa, M. V. / Flagello, Donis et al. | 2006
- 634912
-
The effects of the photomask on multiphase shift test monitorsMcIntyre, Gregory / Neureuther, Andrew et al. | 2006
- 634912
-
The effects of the photomask on multiphase shift test monitors [6349-135]McIntyre, G. / Neureuther, A. / Society of Photo-optical Instrumentation Engineers et al. | 2006
- 634913
-
Image degradation due to phase effects in chromeless phase lithography [6349-38]Bubke, K. / Sczyrba, M. / Park, K. T. / Neubauer, R. / Pforr, R. / Reichelt, J. / Ziebold, R. / Society of Photo-optical Instrumentation Engineers et al. | 2006
- 634913
-
Image degradation due to phase effects in chromeless phase lithographyBubke, Karsten / Sczyrba, Martin / Park, K. T. / Neubauer, Ralf / Pforr, Rainer / Reichelt, Jens / Ziebold, Ralf et al. | 2006
- 634915
-
Advanced non-disruptive manufacturing rule checks (MRC)Moore, Bill / Do, Tanya / Morgan, Ray E. et al. | 2006
- 634915
-
Advanced non-disruptive manufacturing rule checks (MRC) [6349-40]Moore, B. / Do, T. / Morgan, R. E. / Society of Photo-optical Instrumentation Engineers et al. | 2006
- 634916
-
A generic method for the detection of electrically superfluous layout featuresHofsaess, Markus / Roessler, Thomas / Nash, Eva et al. | 2006
- 634916
-
A generic method for the detection of electrically superfluous layout features [6349-41]Hofsaess, M. / Roessler, T. / Nash, E. / Society of Photo-optical Instrumentation Engineers et al. | 2006
- 634917
-
Mask specification for for wafer process optimizationChen, Lin / Freiberger, Phil / Farnsworth, Jeff / Stritsman, Ruth / Rodrigues, Richard P. et al. | 2006
- 634917
-
Mask specification for wafer process optimization [6349-42]Chen, L. / Freiberger, P. / Farnsworth, J. / Stritsman, R. / Rodrigues, R. P. / Society of Photo-optical Instrumentation Engineers et al. | 2006
- 634918
-
A memory efficient large mask data handling method using repetition [6349-43]Choi, J.-S. / Shin, J.-P. / Lee, J.-B. / Yoo, M.-H. / Kong, J.-T. / Society of Photo-optical Instrumentation Engineers et al. | 2006
- 634918
-
A memory efficient large mask data handling method using repetitionChoi, Jin-Sook / Shin, Jae-Pil / Lee, Jong-Bae / Yoo, Moon-Hyun / Kong, Jeong-Taek et al. | 2006
- 634919
-
Sensitivity of a variable threshold model toward process and modeling parameters [6349-44]Saied, M. / Foussadier, F. / Trouiller, Y. / Belledent, J. / Lucas, K. / Schanen, I. / Borjon, A. / Couderc, C. / Gardin, C. / LeCam, L. et al. | 2006
- 634919
-
Sensitivity of a variable threshold model toward process and modeling parametersSaied, Mazen / Foussadier, Franck / Trouiller, Yorick / Belledent, Jérôme / Lucas, Kevin / Schanen, Isabelle / Borjon, Amandine / Couderc, Christophe / Gardin, Christian / LeCam, Laurent et al. | 2006
- 634920
-
Correcting lithography hot spots during physical-design implementation [6349-73]Luk-Pat, G. T. / Miloslavsky, A. / Ikeuchi, A. / Suzuki, H. / Kyoh, S. / Izuha, K. / Tseng, F. / Wen, L. / Society of Photo-optical Instrumentation Engineers et al. | 2006
- 634920
-
Correcting lithography hot spots during physical-design implementationLuk-Pat, Gerard T. / Miloslavsky, Alexander / Ikeuchi, Atsuhiko / Suzuki, Hiroaki / Kyoh, Suigen / Izuha, Kyoko / Tseng, Frank / Wen, Linni et al. | 2006
- 634921
-
Combined resist and etch modeling and correction for the 45-nm nodeDrapeau, Martin / Beale, Dan et al. | 2006
- 634921
-
Combined resist and etch modeling and correction for the 45-nm node [6349-74]Drapeau, M. / Beale, D. / Society of Photo-optical Instrumentation Engineers et al. | 2006
- 634922
-
Application challenges with double patterning technology (DPT) beyond 45 nm [6349-75]Park, J. / Hsu, S. / Van Den Broeke, D. / Chen, J. F. / Dusa, M. / Socha, R. / Finders, J. / Vleeming, B. / van Oosten, A. / Nikolsky, P. et al. | 2006
- 634922
-
Application challenges with double patterning technology (DPT) beyond 45 nmPark, Jungchul / Hsu, Stephen / Van Den Broeke, Douglas / Chen, J. Fung / Dusa, Mircea / Socha, Robert / Finders, Jo / Vleeming, Bert / van Oosten, Anton / Nikolsky, Peter et al. | 2006
- 634923
-
The effect of OPC optical and resist model parameters on the model accuracy, run time, and stabilityAbdo, Amr / Fathy, Rami / Seoud, Ahmed / Oberschmidt, James / Mansfield, Scott / Talbi, Mohamed et al. | 2006
- 634923
-
The effect of OPC optical and resist model parameters on the model accuracy, run time, and stability [6349-76]Abdo, A. / Fathy, R. / Seoud, A. / Oberschmidt, J. / Mansfield, S. / Talbi, M. / Society of Photo-optical Instrumentation Engineers et al. | 2006
- 634924
-
Managing high-accuracy and fast convergence in OPCHerold, Klaus / Chen, Norman / Stobert, Ian P. et al. | 2006
- 634924
-
Managing high-accuracy and fast convergence in OPC [6349-77]Herold, K. / Chen, N. / Stobert, I. P. / Society of Photo-optical Instrumentation Engineers et al. | 2006
- 634925
-
Influence of design shrinks and proximity influence distance on flattening of optical hierarchy during RET [6349-78]Nistler, J. L. / Duckworth, K. / Society of Photo-optical Instrumentation Engineers et al. | 2006
- 634925
-
Influence of design shrinks and proximity influence distance on flattening of optical hierarchy during RETNistler, John L. / Duckworth, Koby et al. | 2006
- 634926
-
Empirical OPC rule inference for rapid RET application [6349-79]Kulkarni, A. P. / Society of Photo-optical Instrumentation Engineers et al. | 2006
- 634926
-
Empirical OPC rule inference for rapid RET applicationKulkarni, Anand P. et al. | 2006
- 634927
-
Benchmarking the productivity of photomask manufacturers [6349-80]Berglund, C. N. / Weber, C. M. / Gabella, P. / Society of Photo-optical Instrumentation Engineers et al. | 2006
- 634927
-
Benchmarking the productivity of photomask manufacturersBerglund, C. Neil / Weber, Charles M. / Gabella, Patricia et al. | 2006
- 634928
-
Required mask specification for mass production devices below 65-nm design nodeNam, Dongseok / Choi, Soohan / Doh, Jonggul / Noh, Young-hwa / Lee, Hojune / Sin, Yu-jeung / Kim, Bo-hye / Kang, Man-kyu / Kim, Byunggook / Choi, Seong-woon et al. | 2006
- 634928
-
Required mask specification for mass production devices below 65-nm design node [6349-81]Nam, D. / Choi, S. / Doh, J. / Noh, Y. / Lee, H. / Sin, Y. / Kim, B. / Kang, M. / Society of Photo-optical Instrumentation Engineers et al. | 2006
- 634929
-
Reparing the mask industryLercel, Michael / Hector, Scott et al. | 2006
- 634929
-
Reparing the mask industry [6349-82]Lercel, M. / Hector, S. / Society of Photo-optical Instrumentation Engineers et al. | 2006
- 634931
-
Adding grayscale layer to chrome photomasksPoon, David K. / Dykes, James M. / Choo, Chinheng / Tsui, Jimmy T. K. / Wang, Jun / Chapman, Glenn H. / Tu, Yuqiang / Reynolds, Patrick / Zanzal, Andrew et al. | 2006
- 634931
-
Adding grayscale layer to chrome photomasks [6349-117]Poon, D. K. / Dykes, J. M. / Choo, C. / Tsui, J. T. K. / Wang, J. / Chapman, G. H. / Tu, Y. / Reynolds, P. / Zanzal, A. / Society of Photo-optical Instrumentation Engineers et al. | 2006
- 634932
-
Polysilicon gate and polysilicon wire CD/EPE defect detection and classification through process window [6349-198]Andrews, S. / Volk, W. / Su, B. / Du, H. / Kumar, B. / Pulusuri, R. / Vikram, A. / Li, X. / Chen, S. / Society of Photo-optical Instrumentation Engineers et al. | 2006
- 634932
-
Polysilicon gate and polysilicon wire CD/EPE defect detection and classification through process windowAndrews, Scott / Volk, William / Su, Bo / Du, Hong / Kumar, Bhavaniprasad / Pulusuri, Ramanamurthy / Vikram, Abhishek / Li, Xiaochun / Chen, Shaoyun et al. | 2006
- 634933
-
Chrome etch solutions for 45-nm and beyondChandrachood, M. / Grimbergen, M. / Ibrahim, I. / Panayil, S. / Kumar, A. et al. | 2006
- 634933
-
Chrome etch solutions for 45-nm and beyond [6349-118]Chandrachood, M. / Grimbergen, M. / Ibrahim, I. / Panayil, S. / Kumar, A. / Society of Photo-optical Instrumentation Engineers et al. | 2006
- 634934
-
Quartz etch solutions for 45-nm phase-shift masksChandrachood, M. / Grimbergen, M. / Leung, T. Y. B. / Panayil, S. / Ibrahim, I. / Kumar, A. et al. | 2006
- 634934
-
Quartz etch solutions for 45-nm phase-shift masks [6349-119]Chandrachood, M. / Grimbergen, M. / Leung, T. Y. B. / Panayil, S. / Ibrahim, I. / Kumar, A. / Society of Photo-optical Instrumentation Engineers et al. | 2006
- 634935
-
Multi-layer resist system for 45-nm-node and beyond: Part III [6349-120]Abe, Y. / Morimoto, J. / Yokoyama, T. / Kominato, A. / Ohkubo, Y. / Society of Photo-optical Instrumentation Engineers et al. | 2006
- 634935
-
Multi-layer resist system for 45-nm-node and beyond: Part IIIAbe, Yuuki / Morimoto, Jumpei / Yokoyama, Toshifumi / Kominato, Atsushi / Ohkubo, Yasushi et al. | 2006
- 634936
-
Multi-layer resist system for 45-nm-node and beyond: Part IIFujimura, Yukihiro / Morimoto, Jumpei / Manoshiro, Asuka / Shimizu, Mochihiro / Takamizawa, Hideyoshi / Hashimoto, Masahiro / Shiratori, Hiroshi / Horii, Katsuhiko / Yokoya, Yasunori / Ohkubo, Yasushi et al. | 2006
- 634936
-
Multi-layer resist system for 45-nm-node and beyond: Part II [6349-121]Fujimura, Y. / Morimoto, J. / Manoshiro, A. / Shimizu, M. / Takamizawa, H. / Hashimoto, M. / Shiratori, H. / Horii, K. / Yokoya, Y. / Ohkubo, Y. et al. | 2006
- 634937
-
Current status of Mo-Si multilayer formation in ASET for low-defect-density mask blanks for EUV lithographyHiruma, Kenji / Tanaka, Yuusuke / Miyagaki, Shinji / Yamanashi, Hiromasa / Nishiyama, Iwao et al. | 2006
- 634937
-
Current status of Mo-Si multilayer formation in ASET for low-defect-density mask blanks for EUV lithography [6349-122]Hiruma, K. / Tanaka, Y. / Miyagaki, S. / Yamanashi, H. / Nishiyama, I. / Society of Photo-optical Instrumentation Engineers et al. | 2006
- 634938
-
Predicting the influence of trapped particles on EUVL reticle distortion during exposure chucking [6349-123]Ramaswamy, V. / Turner, K. T. / Engelstad, R. L. / Lovell, E. G. / Society of Photo-optical Instrumentation Engineers et al. | 2006
- 634938
-
Predicting the influence of trapped particles on EUVL reticle distortion during exposure chuckingRamaswamy, Vasu / Turner, Kevin T. / Engelstad, Roxann L. / Lovell, Edward G. et al. | 2006
- 634939
-
Experimental verification of finite element model prediction of EUVL mask flatness during electrostatic chucking [6349-124]Nataraju, M. / Sohn, J. / Mikkelson, A. R. / Turner, K. T. / Engelstad, R. L. / Van Peski, C. K. / Society of Photo-optical Instrumentation Engineers et al. | 2006
- 634939
-
Experimental verification of finite element model prediction of EUVL mask flatness during electrostatic chuckingNataraju, Madhura / Sohn, Jaewoong / Mikkelson, Andrew R. / Turner, Kevin T. / Engelstad, Roxann L. / Van Peski, Chris K. et al. | 2006
- 634940
-
Introduction of a die-to-database verification tool for mask geometry NGR4000Hoffman, Michael J. / Kitamura, Tadashi / Kubota, Kazufumi / Hasebe, Toshiaki / Nakazawa, Shinichi / Tokumoto, Toshifumi / Tsuneoka, Masatoshi / Yamamoto, Masahiro / Inoue, Masahiro et al. | 2006
- 634940
-
Introduction of a die-to-database verification tool for mask geometry NGR4000 [6349-150]Hoffman, M. J. / Kitamura, T. / Kubota, K. / Hasebe, T. / Nakazawa, S. / Tokumoto, T. / Tsuneoka, M. / Yamamoto, M. / Inoue, M. / Society of Photo-optical Instrumentation Engineers et al. | 2006
- 634941
-
A new algorithm for SEM critical dimension measurements for differentiating between lines and spaces in dense line/space patterns without tone dependenceMatsumoto, J. / Ogiso, Y. / Sekine, M. / Iwai, T. / Whittey, J. et al. | 2006
- 634941
-
A new algorithm for SEM critical dimension measurements for differentiating between lines and spaces in dense line/space patterns without tone dependence [6349-152]Matsumoto, J. / Ogiso, Y. / Sekine, M. / Iwai, T. / Whittey, J. / Society of Photo-optical Instrumentation Engineers et al. | 2006
- 634942
-
Automated mask qualification with new CD metrology in CATS environmentBoerland, Herman / Lesnick, Ronald J. et al. | 2006
- 634942
-
Automated mask qualification with new CD metrology in CATS environment [6349-153]Boerland, H. / Lesnick, R. J. / Society of Photo-optical Instrumentation Engineers et al. | 2006
- 634943
-
Utilize AIMS simulation to estimate profile side-wall angleLu, Colbert / Lin, C. H. / Wang, C. F. et al. | 2006
- 634943
-
Utilize AIMS simulation to estimate profile side-wall angle [6349-154]Lu, C. / Lin, C. H. / Wang, C. F. / Society of Photo-optical Instrumentation Engineers et al. | 2006
- 634944
-
Multi-point CD measurement method to evaluate pattern fidelity and performance of maskKim, Munsik / Lee, Hyemi / Seo, Kanjoon / Lee, Dongwook / Choi, Yongkyoo / Oh, Sunghyun / Han, Oscar et al. | 2006
- 634944
-
Multi-point CD measurement method to evaluate pattern fidelity and performance of mask [6349-155]Kim, M. / Lee, H. / Seo, K. / Lee, D. / Choi, Y. / Oh, S. / Han, O. / Society of Photo-optical Instrumentation Engineers et al. | 2006
- 634946
-
A new critical dimension metrology for chrome-on-glass substrates based on s-parameter measurements extracted from coplanar waveguide test structuresNwokoye, Chidubem A. / Zaghloul, Mona / Cresswell, Michael W. / Allen, Richard A. / Murabito, Christine E. et al. | 2006
- 634946
-
A new critical dimension metrology for chrome-on-glass substrates based on S-parameter measurements extracted from coplanar waveguide test structures [6349-157]Nwokoye, C. A. / Zaghloul, M. / Cresswell, M. W. / Allen, R. A. / Murabito, C. E. / Society of Photo-optical Instrumentation Engineers et al. | 2006
- 634947
-
Revisiting mask contact hole measurementsHiguchi, Masaru / Gallagher, Emily / Ceperley, Daniel / Brunner, Timothy / Bowley, Reg / McGuire, Anne et al. | 2006
- 634947
-
Revisiting mask contact hole measurements [6349-158]Higuchi, M. / Gallagher, E. / Ceperley, D. / Brunner, T. / Bowley, R. / McGuire, A. / Society of Photo-optical Instrumentation Engineers et al. | 2006
- 634949
-
Novel technique for critical dimension measurements of phase-shift masks using broadband transmittance spectra in conjunction with RCWAGray, Alexander / Lam, John C. / Chen, Stanley et al. | 2006
- 634949
-
Novel technique for critical dimension measurements of phase-shift masks using broadband transmittance spectra in conjunction with RCWA [6349-162]Gray, A. / Lam, J. C. / Chen, S. / Society of Photo-optical Instrumentation Engineers et al. | 2006
- 634950
-
Propagation of resist heating mask error to wafer level [6349-193]Babin, S. V. / Karklin, L. / Society of Photo-optical Instrumentation Engineers et al. | 2006
- 634950
-
Propagation of resist heating mask error to wafer levelBabin, S. V. / Karklin, Linard et al. | 2006
- 634951
-
A new criterion of mask birefringence for polarized illuminationIwase, Kazuya / Thunnakart, Boontarika / Kaneguchi, Tokihisa / Ozawa, Ken / Yokoyama, Toshifumi / Morikawa, Yasutaka / Uesawa, Fumikatsu et al. | 2006
- 634951
-
A new criterion of mask birefringence for polarized illumination [6349-194]Iwase, K. / Thunnakart, B. / Kaneguchi, T. / Ozawa, K. / Yokoyama, T. / Morikawa, Y. / Uesawa, F. / Society of Photo-optical Instrumentation Engineers et al. | 2006
- 634952
-
Reticle carrier material as ESD protection [6349-195]Helmholz, D. / Lering, M. / Society of Photo-optical Instrumentation Engineers et al. | 2006
- 634952
-
Reticle carrier material as ESD protectionHelmholz, Dirk / Lering, Michael et al. | 2006
- 634953
-
Experimental investigation of photomask with near-field polarization imaging [6349-196]Chen, T. / Milster, T. D. / Yang, S.-H. / Society of Photo-optical Instrumentation Engineers et al. | 2006
- 634953
-
Experimental investigation of photomask with near-field polarization imagingChen, Tao / Milster, Tom D. / Yang, Seung-Hune et al. | 2006
- 634954
-
The effect between mask blank flatness and wafer print process window in ArF 6% att. PSM mask [6349-197]Tzeng, J. / Lee, B. / Lu, J. / Kozuma, M. / Chen, N. / Lin, W. K. / Chung, A. / Houng, Y. C. / Wei, C. H. / Society of Photo-optical Instrumentation Engineers et al. | 2006
- 634954
-
The effect between mask blank flatness and wafer print process window in ArF 6% att. PSM maskTzeng, Joseph / Lee, Booky / Lu, Jerry / Kozuma, Makoto / Chen, Noah / Lin, Wen Kuang / Chung, Army / Houng, Yow Choung / Wei, Chi Hung et al. | 2006
-
Fast dual graph-based hotspot detection [6349-148]Kahng, A. B. / Park, C.-H. / Xu, X. / Society of Photo-optical Instrumentation Engineers et al. | 2006
-
Design-based mask metrology hot spot classification and recipe making through random pattern recognition method [6349-24]Cui, Y. / Baik, K. / Gleason, B. / Tavassoli, M. / Society of Photo-optical Instrumentation Engineers et al. | 2006
-
High-resolution mask inspection in advanced fab [6349-28]Maelzer, S. / Poock, A. / Reese, B. / Bhattacharyya, K. / Mirzaagha, F. / Cox, S. / Lang, M. / Society of Photo-optical Instrumentation Engineers et al. | 2006
-
Alternating PSM for sub-60-nm DRAM gate single exposure [6349-66]Chen, K. / Lu, R. / Fu, K. K. / Hsia, C. / Shih, C.-L. / Lin, J. / Society of Photo-optical Instrumentation Engineers et al. | 2006
-
Phase-shift reticle design impact on patterned linewidth variation and LWR [6349-65]Vasek, J. / Fu, C.-C. / Chen, G. / Society of Photo-optical Instrumentation Engineers et al. | 2006
-
Single pass die-to-database tritone reticle inspection capability [6349-137]Reese, B. / Heumann, J. / Schmidt, N. / Society of Photo-optical Instrumentation Engineers et al. | 2006
-
Defining defect specifications to optimize photomask production and requalification [6349-203]Fiekowsky, P. / Society of Photo-optical Instrumentation Engineers et al. | 2006
-
Correlation between OPC model accuracy and image parameters [6349-179]Kallingal, C. / Chen, N. / Society of Photo-optical Instrumentation Engineers et al. | 2006
-
Inverse lithography technology at low k1: placement and accuracy of assist features [6349-186]Moore, A. / Lin, T. / Liu, Y. / Russell, G. / Pang, L. / Abrams, D. / Society of Photo-optical Instrumentation Engineers et al. | 2006
-
Parametric uncertainty in optical image modeling [6349-187]Potzick, J. / Marx, E. / Davidson, M. / Society of Photo-optical Instrumentation Engineers et al. | 2006
-
Limitations of optical reticle inspection for 45-nm node and beyond [6349-29]Teuber, S. / Bzdurek, A. / Durr, A. C. / Heumann, J. / Holfeld, C. / Society of Photo-optical Instrumentation Engineers et al. | 2006
-
Variable shaped beam writing throughput at the 45nm node and beyond [6349-31]Sowers, A. / Shumway, M. / Kamna, M. / Wilcox, N. / Vernon, M. / Cole, D. / Chandramouli, M. / Society of Photo-optical Instrumentation Engineers et al. | 2006
-
Study of the beam blur and its effect on the future mask fabrication [6349-33]Lee, S. / Park, S. / Ahn, M. / Doh, J. / Kim, S. / Kim, B. / Choi, S. / Han, W. / Society of Photo-optical Instrumentation Engineers et al. | 2006
-
Simulation of critical dimension and profile metrology based on scatterometry method [6349-57]Chalykh, R. / Pundaleva, I. / Kim, S. / Cho, H.-K. / Moon, J.-T. / Society of Photo-optical Instrumentation Engineers et al. | 2006
-
Extension of 193 nm dry lithography to 45-nm half-pitch node: double exposure and double processing technique [6349-62]Biswas, A. M. / Li, J. / Hiserote, J. A. / Melvin, L. S. / Society of Photo-optical Instrumentation Engineers et al. | 2006
-
Feasibility study of mask fabrication in double exposure technology [6349-67]Doh, J. G. / Lee, S. H. / Yoon, J. B. / Lee, D. Y. / Cho, S. Y. / Kim, B. G. / Choi, S. W. / Han, W. S. / Society of Photo-optical Instrumentation Engineers et al. | 2006
-
Manufacturing of the first EUV full-field scanner mask [6349-90]Dersch, U. / Buettner, R. / Chovino, C. / Franz, S. / Heins, T. / Herguth, H. / Peters, J. H. / Rode, T. / Letzkus, F. / Butschke, J. et al. | 2006
-
Dependency of EUV mask defects on substrate defects [6349-127]Eichenlaub, S. / Rastegar, A. / Kapila, V. / Ikuta, Y. / Marmillion, P. / Society of Photo-optical Instrumentation Engineers et al. | 2006
-
OPC to account for thick mask effect using simplified boundary layer model [6349-133]Kim, S. / Kim, Y.-C. / Suh, S. / Lee, S. / Cho, H. / Moon, J. / Cobb, J. / Society of Photo-optical Instrumentation Engineers et al. | 2006
-
Precise and high-throughput femtopulse laser mask repair of large defects [6349-171]White, R. / LeClaire, J. / Robinson, T. / Dinsdale, A. / Bozak, R. / Lee, D. A. / Society of Photo-optical Instrumentation Engineers et al. | 2006
-
Evaluation of writing strategy with one and two pass on OPC technology using EBM writing system [6349-167]Tseng, C.-R. / Cheng, K. / Lee, D. / Yang, S.-B. / Wu, C.-H. / Society of Photo-optical Instrumentation Engineers et al. | 2006
-
Advanced femtosecond DUV laser mask repair tool for large area photomasks [6349-172]Treyger, L. / Heyl, J. / Fink, M. / Koren, I. / Li, Y. / Ronning, D. / Small, F. / Xian, B. / Society of Photo-optical Instrumentation Engineers et al. | 2006
-
Rigorous simulation of 3D masks [6349-192]Burger, S. / Kohle, R. / Zschiedrich, L. / Nguyen, H. / Schmidt, F. / Marz, R. / Nolscher, C. / Society of Photo-optical Instrumentation Engineers et al. | 2006
-
Controlling CD uniformity for 45nm technology node applications [6349-10]Plumhoff, J. / Srinivasan, S. / Westerman, R. / Johnson, D. / Constantine, C. / Society of Photo-optical Instrumentation Engineers et al. | 2006
-
Process window enhancement for 45-nm node using alterable transmission phase-shifting materials [6349-19]Becker, H. / Renno, M. / Hess, G. / Buttgereit, U. / Koepernik, C. / Nedelmann, L. / Irmscher, M. / Birkner, R. / Zibold, A. / Scheruebl, T. et al. | 2006
-
A new model of haze generation and storage-life-time estimation for mask [6349-53]Shimada, S. / Kanda, N. / Takahashi, N. / Nakajima, H. / Tanaka, H. / Ishii, H. / Shoji, Y. / Otsuki, M. / Naito, A. / Hayashi, N. et al. | 2006
-
EUV mask pattern inspection for memory mask fabrication in 45-nm node and below [6349-95]Kim, D. Y. / Cho, S. Y. / Kim, H. / Huh, S. M. / Chung, D. H. / Cha, B. C. / Lee, J. W. / Choi, S. W. / Han, W. S. / Park, K. H. et al. | 2006
-
A novel Alt-PSM structure: isn't this an embedded Atten-PSM? [6349-100]Nakao, S. / Hosono, K. / Maejima, S. / Narimatsu, K. / Hanawa, T. / Suko, K. / Society of Photo-optical Instrumentation Engineers et al. | 2006
-
Cleaning of MoSi multilayer mask blanks for EUVL [6349-110]Kapila, V. / Rastegar, A. / Ikuta, Y. / Eichenlaub, S. / Marmillion, P. / Society of Photo-optical Instrumentation Engineers et al. | 2006
-
Mask defect imaging system using backscattered electron images [6349-199]Takahashi, K. / Ataka, M. / Namae, T. / Society of Photo-optical Instrumentation Engineers et al. | 2006
-
Deep subwavelength mask assist features and mask errors printability in high NA lithography [6349-189]Cheng, W.-H. / Lee, M. / Tolani, V. / Nakahma, M. / Gleason, B. / Society of Photo-optical Instrumentation Engineers et al. | 2006
-
Litho-friendly design (LfD) methodologies applied to library cells [6349-14]Peter, K. / Marz, R. / Grondahl, S. / Maurer, W. / Society of Photo-optical Instrumentation Engineers et al. | 2006
-
Feasibility study of embedded binary masks [6349-21]Cangemi, M. / Philipsen, V. / Leunissen, L. H. A. / Taylor, D. / Society of Photo-optical Instrumentation Engineers et al. | 2006
-
Real-time monitoring based on comprehensive analysis of the haze environment under the pellicle film [6349-54]Choi, J. / Lee, S. / Kim, E. / Nam, I. / Cha, B. / Choi, S. / Han, W. / Society of Photo-optical Instrumentation Engineers et al. | 2006
-
High-transmission attenuated phase-shift mask for ArF immersion lithography [6349-68]Kojima, Y. / Mizoguchi, T. / Haraguchi, T. / Konishi, T. / Okuda, Y. / Society of Photo-optical Instrumentation Engineers et al. | 2006
-
CD and profile metrology of EUV masks using scatterometry based optical digital profilometry [6349-92]Cho, S. / Yedur, S. / Kwon, M. / Tabet, M. / Society of Photo-optical Instrumentation Engineers et al. | 2006
-
Qualitative analysis of haze defects [6349-103]Choi, J. / Koh, S. / Ji, S. / Cha, B.-C. / Choi, S.-W. / Han, W. / Society of Photo-optical Instrumentation Engineers et al. | 2006
-
Haze detection and haze-induced process latitude variation for low-k~1 193 nm lithography [6349-105]Kim, S.-J. / Park, J.-B. / Kim, S. H. / Kang, H.-Y. / Kang, Y.-M. / Park, S.-W. / An, I. / Oh, H.-K. / Society of Photo-optical Instrumentation Engineers et al. | 2006
-
Novel cleaning techniques to achieve defect-free photomasks for sub-65-nm nodes [6349-109]Ryu, J. H. / Lee, D. W. / Ryu, J. S. / Kim, S. P. / Han, O. / Society of Photo-optical Instrumentation Engineers et al. | 2006
-
Load balancing using DP management server for commercial MDP software [6349-146]Kim, J.-W. / Ki, W.-T. / Jang, S.-H. / Choi, J.-H. / Choi, S.-W. / Han, W.-S. / Society of Photo-optical Instrumentation Engineers et al. | 2006
-
Advanced manufacturing rules check (MRC) for fully automated assessment of complex reticle designs: Part II [6349-145]Straub, J. A. / Aguilar, D. / Buck, P. D. / Dawkins, D. / Gladhill, R. / Nolke, S. / Riddick, J. / Society of Photo-optical Instrumentation Engineers et al. | 2006
-
No-forbidden-pitch SRAF rules for advanced contact lithography [6349-177]Wang, C.-H. / Liu, Q. / Zhang, L. / Hung, C.-Y. / Society of Photo-optical Instrumentation Engineers et al. | 2006
-
Illumination optimization for 65nm technology node [6349-188]Wang, C.-H. / Liu, Q. / Zhang, L. / Hung, C.-Y. / Society of Photo-optical Instrumentation Engineers et al. | 2006
-
Mask complexity reduction, quality assurance, and yield improvement through reduced layout variability [6349-13]Balasinski, A. / Cetin, J. / Society of Photo-optical Instrumentation Engineers et al. | 2006
-
Metrics to assess fracture quality for variable shaped beam lithography [6349-169]Bloecker, M. / Gladhill, R. / Buck, P. D. / Kempf, M. / Aguilar, D. / Cinque, R. B. / Society of Photo-optical Instrumentation Engineers et al. | 2006
-
Improved photomask accuracy with a high-productivity DUV laser pattern generator [6349-34]Ostrom, T. / Mahlen, J. / Karawajczyk, A. / Rosling, M. / Carlqvist, P. / Askebjer, P. / Karlin, T. / Sallander, J. / Osterberg, A. / Society of Photo-optical Instrumentation Engineers et al. | 2006
-
The study of chromeless phase lithography (CPL) for 45nm lithography [6349-98]Tan, S. Y. / Lin, Q. / Tay, C. J. / Quan, C. / Society of Photo-optical Instrumentation Engineers et al. | 2006
-
Real-time ultra-sensitive ambient ammonia monitor for advanced lithography [6349-101]Crosson, E. / Nishimura, K. / Sakaguchi, Y. / Rella, C. W. / Wahl, E. / Society of Photo-optical Instrumentation Engineers et al. | 2006
-
Study of chromeless mask quartz defect detection capability for 80-nm post structure [6349-136]Lu, J. / Wang, B. / Chen, F. F. / Wang, O. / Chou, J. / Lin, O. / Cheng, J. / Chen, E. / Yu, P. / Society of Photo-optical Instrumentation Engineers et al. | 2006
-
The automatic back-check mechanism of mask tooling database and automatic transmission of mask tooling data [6349-141]Xu, Z. / Peng, M. G. / Tu, L. H. / Lee, C. / Lin, J. K. / Jan, J. F. / Yin, A. / Wang, P. / Society of Photo-optical Instrumentation Engineers et al. | 2006
-
Advanced CD AFM metrology for 3D critical shape and dimension control of photomask etch processing [6349-149]Bao, T. / Zerrade, A. / Society of Photo-optical Instrumentation Engineers et al. | 2006
-
Thermal modeling of photomask precision baking system [6349-174]Matsubara, K. / Kobayashi, M. / Rack, S. / Miyazaki, S. / Ikeda, T. / Society of Photo-optical Instrumentation Engineers et al. | 2006
-
Accounting for lens aberrations in OPC model calibration [6349-178]Depre, L. / Cork, C. / Drapeau, M. / Society of Photo-optical Instrumentation Engineers et al. | 2006
-
The effect of sub-layer condition on the OPC model [6349-181]Choi, J. / Kang, J. / Shim, Y. / Yun, K. / Lee, J. / Lee, Y. / Kim, K. / Society of Photo-optical Instrumentation Engineers et al. | 2006
-
Integrated DFM framework for dynamic yield optimization [6349-15]Pikus, F. G. / Society of Photo-optical Instrumentation Engineers et al. | 2006
-
Contact hole CD and profile metrology of binary and phase-shift masks: effect of modeling strategies in application of scatterometery [6349-22]Lee, K. / Yedur, S. / Tavassoli, M. / Baik, K. / Tabet, M. / Society of Photo-optical Instrumentation Engineers et al. | 2006
-
Advanced photomask repair technology for 65-nm lithography [6349-50]Aramaki, F. / Kozakai, T. / Muramatsu, M. / Sugiyama, Y. / Koyama, Y. / Matsuda, O. / Suzuki, K. / Okabe, M. / Doi, T. / Hagiwara, R. et al. | 2006
-
Mask repair using layout-based pattern copy for the 65-nm node and beyond [6349-52]Boegli, V. / Auth, N. / Hofmann, U. / Society of Photo-optical Instrumentation Engineers et al. | 2006
-
Analysis of the Vistec LMS IPRO3 performance and accuracy enhancement techniques [6349-59]Antesberger, G. / Knoth, S. / Laske, F. / Rudolf, J. / Cotte, E. / Alles, B. / Blasing, C. / Fricke, W. / Rinn, K. / Society of Photo-optical Instrumentation Engineers et al. | 2006
-
CD measurement evaluation on periodic patterns between optic tools and CD-SEM [6349-60]Choi, Y. / Kim, M. / Oh, S. / Han, O. / Society of Photo-optical Instrumentation Engineers et al. | 2006
-
Identification of subresolution assist features that are susceptible to imaging through process [6349-63]Melvin, L. S. / Drapeau, M. / Huang, J. / Society of Photo-optical Instrumentation Engineers et al. | 2006
-
Mastering double exposure process window aware OPC by means of virtual targets [6349-69]Haffner, H. / Baum, Z. / Fonseca, C. / Halle, S. / Liebmann, L. / Mahorowala, A. / Society of Photo-optical Instrumentation Engineers et al. | 2006
-
Present challenges and solutions in sampling and correction for 45 nm [6349-71]Graur, I. / Al-Imam, M. / LaCour, P. / Society of Photo-optical Instrumentation Engineers et al. | 2006
-
A procedure and program to calculate shuttle mask advantage [6349-164]Balasinski, A. / Cetin, J. / Kahng, A. / Xu, X. / Society of Photo-optical Instrumentation Engineers et al. | 2006
-
Development of EUVL mask blank in AGC [6349-93]Sugiyama, T. / Kojima, H. / Ito, M. / Otsuka, K. / Yokoyama, M. / Mikami, M. / Hayashi, K. / Matsumoto, K. / Kikugawa, S. / Society of Photo-optical Instrumentation Engineers et al. | 2006
-
Incoming database verification and management for mask data preparation [6349-143]Chen, F. F. / Lee, C. W. / Lin, J. C. / Society of Photo-optical Instrumentation Engineers et al. | 2006
-
CP mask optimization for enhancing the throughput of MCC systems [6349-166]Sugihara, M. / Nakamura, K. / Matsunaga, Y. / Murakami, K. / Society of Photo-optical Instrumentation Engineers et al. | 2006
-
Auxiliary pattern for cell-based OPC [6349-185]Kahng, A. B. / Park, C. / Society of Photo-optical Instrumentation Engineers et al. | 2006
-
The study of optical performance for quartz dry etching quality in ArF lithography [6349-12]Ahn, W.-S. / Lee, H.-K. / Park, Y.-J. / Kwon, H.-J. / Choi, S.-W. / Han, W.-S. / Society of Photo-optical Instrumentation Engineers et al. | 2006
-
Evaluation of bi-layer TaSix absorber on buffer for EUV mask [6349-125]Kanayama, K. / Tamura, S. / Nishiyama, Y. / Kawashita, M. / Matsuo, T. / Tamura, A. / Nagashige, S. / Hiruma, K. / Goo, D. / Nishiyama, I. et al. | 2006
-
Inspectability and printability of lines and spaces halftone masks for the advanced DRAM node [6349-140]Durr, A. C. / Gutjahr, K. / Heumann, J. / Stengl, M. / Katzwinkel, F. / Frangen, A. / Witte, T. / Society of Photo-optical Instrumentation Engineers et al. | 2006
-
Theoretical modelling and experimental verification of the influence of Cr edge profiles on microscopic-optical edge signals for COG masks [6349-191]Ehret, G. / Bodermann, B. / Bergmann, D. / Diener, A. / Hassler-Grohne, W. / Society of Photo-optical Instrumentation Engineers et al. | 2006
-
Impact of AFM scan artifacts on photolithographic simulation [6349-49]Robinson, T. / Lewellen, J. / Lee, D. A. / Brooker, P. / Society of Photo-optical Instrumentation Engineers et al. | 2006
-
Fast nondestructive optical measurements of critical dimension uniformity and linearity on AEI and ASI phase-shift masks [6349-61]Gray, A. / Lam, J. C. / Society of Photo-optical Instrumentation Engineers et al. | 2006
-
A fresh look at the cell-wise process effect corrections [6349-64]Lapanik, D. / Society of Photo-optical Instrumentation Engineers et al. | 2006
-
Direct die-to-database electron-beam inspection of fused silica imprint templates [6349-86]Tsuneoka, M. / Hasebe, T. / Tokumoto, T. / Yan, C. / Yamamoto, M. / Resnick, D. J. / Thompson, E. / Wakamori, H. / Inoue, M. / Ainley, E. et al. | 2006
-
Measuring force uniformity in electrostatic chucking of EUVL masks [6349-126]Sohn, J. / Veerarghavan, S. / Turner, K. T. / Engelstad, R. L. / Van Peski, C. K. / Society of Photo-optical Instrumentation Engineers et al. | 2006
-
Rigorous FEM simulation of EUV masks: influence of shape and material parameters [6349-128]Pomplun, J. / Burger, S. / Schmidt, F. / Zschiedrich, L. / Scholze, F. / Laubis, C. / Dersch, U. / Society of Photo-optical Instrumentation Engineers et al. | 2006
-
Mask CD correction method using dry-etch process [6349-11]Jung, H. Y. / Ha, T. J. / Shin, J. C. / Jeong, K. C. / Kim, Y. K. / Han, O. / Society of Photo-optical Instrumentation Engineers et al. | 2006
-
Application of DoseMapper for 65-nm gate CD control: strategies and results [6349-16]Jeewakhan, N. / Shamma, N. / Choi, S.-J. / Alvarez, R. / Son, D. H. / Nakamura, M. / Pici, V. / Schreiber, J. / Tzeng, W. / Ang, S. et al. | 2006
-
Improved prediction of across chip linewidth variation (ACLV) with photomask aerial image CD metrology [6349-23]Poortinga, E. / Zibold, A. / Conley, W. / Litt, L. C. / Kasprowicz, B. / Cangemi, M. / Society of Photo-optical Instrumentation Engineers et al. | 2006
-
Imaging behavior of high-transmission attenuating phase-shift mask films [6349-45]Hibbs, M. / Nemoto, S. / Komizo, T. / Society of Photo-optical Instrumentation Engineers et al. | 2006
-
Optical properties of alternating phase-shifting masks [6349-46]Gleason, B. / Cheng, W.-H. / Society of Photo-optical Instrumentation Engineers et al. | 2006
-
NIL template making and imprint evaluation [6349-85]Yoshida, Y. / Kobiki, A. / Hiraka, T. / Yusa, S. / Sasaki, S. / Itoh, K. / Toyama, N. / Kurihara, M. / Mohri, H. / Hayashi, N. et al. | 2006
-
Multilayer defects nucleated by substrate pits: a comparison of actinic inspection and non-actinic inspection techniques [6349-96]Barty, A. / Goldberg, K. A. / Kearney, P. / Rekawa, S. B. / LaFontaine, B. / Wood, O. / Taylor, J. S. / Han, H.-S. / Society of Photo-optical Instrumentation Engineers et al. | 2006
-
Mechanism of megasonic damages for micropatterns [6349-113]Suwa, Y. / Shimada, S. / Shigihara, A. / Ishii, H. / Shoji, Y. / Otsuki, M. / Naito, A. / Sasaki, S. / Mohri, H. / Hayashi, N. et al. | 2006
-
45-32-nm node photomask technology with water immersion lithography [6349-134]Adachi, T. / Inazuki, Y. / Sutou, T. / Morikawa, Y. / Toyama, N. / Mohri, H. / Hayashi, N. / Society of Photo-optical Instrumentation Engineers et al. | 2006
-
A cost model comparing image qualification and direct mask inspection [6349-139]Bhattacharyya, K. / Hazari, V. / Sutherland, D. / Higashiki, T. / Society of Photo-optical Instrumentation Engineers et al. | 2006
-
Optimization of development process using after develop inspection in mask manufacturing [6349-200]Kim, H. Y. / Hwang, D. H. / Kim, S. P. / Han, O. / Park, K. H. / Kim, N. W. / Kim, D. / Society of Photo-optical Instrumentation Engineers et al. | 2006
-
Efficient approach to improving pattern fidelity with multi-OPC model and recipe [6349-182]Do, M. / Kang, J. / Choi, J. / Lee, J. / Lee, Y. / Kim, K. / Society of Photo-optical Instrumentation Engineers et al. | 2006
-
Beyond rule-based physical verification [6349-190]Hoppe, W. / Roessler, T. / Torres, J. A. / Society of Photo-optical Instrumentation Engineers et al. | 2006
-
Multi-layer resist system for 45-nm-node and beyond: part I [6349-18]Hashimoto, M. / Shiratori, H. / Horii, K. / Yokoya, Y. / Ohkubo, Y. / Takamizawa, H. / Fujimura, Y. / Morimoto, J. / Manoshiro, A. / Shimizu, M. et al. | 2006
-
Finding the needle in the haystack: using full-chip process window analysis to qualify competing SRAF placement strategies for 65 nm [6349-70]Mason, M. / Best, S. / Zhang, G. / Terry, M. / Soper, R. / Society of Photo-optical Instrumentation Engineers et al. | 2006
-
PMJ 2006 panel discussion review: Mask technologies for EUVL (Invited Paper) [6349-89]Sugawara, M. / Sano, H. / Society of Photo-optical Instrumentation Engineers et al. | 2006
-
A novel approach for hot-spot removal for sub-100nm manufacturing [6349-99]Ma, M. / Anderson, M. / Lai, W. / Wu, C. / Tsao, B. / Chu, C. / Lin, C. / Chou, J. / Tsai, S. / Society of Photo-optical Instrumentation Engineers et al. | 2006
-
Parallel processing of layout data with selective data distribution [6349-144]Pereira, M. / Bhat, N. / Srinivas, P. / Society of Photo-optical Instrumentation Engineers et al. | 2006
-
A general framework for multi-flow multi-layer multi-project reticles design [6349-165]Kahng, A. B. / Xu, X. / Society of Photo-optical Instrumentation Engineers et al. | 2006
-
Minimizing yield-loss risks through post-OPC verification [6349-180]Wang, C. / Liu, Q. / Zhang, L. / Gao, G.-S. / Brist, T. E. / Donnelly, T. / Shang, S. / Society of Photo-optical Instrumentation Engineers et al. | 2006
-
On objectives and algorithms of inverse methods in microlithography [6349-184]Granik, Y. / Sakajiri, K. / Shang, S. / Society of Photo-optical Instrumentation Engineers et al. | 2006
-
Optical issues of thin organic pellicles in 45-nm and 32-nm immersion lithography [6349-20]Lucas, K. / Gordon, J. S. / Conley, W. / Saied, M. / Warrick, S. / Pochkowski, M. / Smith, M. D. / West, C. / Kalk, F. / Kuijten, J. P. et al. | 2006
-
Analysis of optical lithography capabilities of pixelized photomasks and spatial light modulators [6349-27]Latypov, A. / Society of Photo-optical Instrumentation Engineers et al. | 2006
-
Wafer fab mask qualification techniques and limitations [6349-30]Poock, A. / Maelzer, S. / Spence, C. / Tabery, C. / Lang, M. / Schnasse, G. / Peikert, M. / Bhattacharyya, K. / Society of Photo-optical Instrumentation Engineers et al. | 2006
-
Optimization of process window simulations for litho-friendly design framework [6349-47]Al-Imam, M. / Torres, A. / Brunet, J.-M. / Fakhry, M. / Fathy, R. / Society of Photo-optical Instrumentation Engineers et al. | 2006
-
Segmentation-assisted edge extraction algorithms for SEM images [6349-58]Feng, H. / Ye, J. / Pease, R. F. / Society of Photo-optical Instrumentation Engineers et al. | 2006
-
Simplified model for absorber feature transmissions on EUV masks [6349-91]Lam, M. C. / Neureuther, A. R. / Society of Photo-optical Instrumentation Engineers et al. | 2006
-
An effective layout optimization method via LFD concept [6349-115]Wang, C.-H. / Deng, Z. / Gao, G. / Hung, C.-Y. / Society of Photo-optical Instrumentation Engineers et al. | 2006
-
Process development for EUV mask production [6349-131]Abe, T. / Fujii, A. / Sasaki, S. / Mohri, H. / Hayashi, N. / Shoki, T. / Yamada, T. / Nozawa, O. / Ohkubo, R. / Ushida, M. et al. | 2006
-
Development of next-generation mask Inspection method by using the feature of mask image captured with 199-nm inspection optics [6349-138]Tsuji, Y. / Kikuiri, N. / Murakami, S. / Takahara, K. / Isomura, I. / Tamura, Y. / Yamashita, K. / Hirano, R. / Tateno, M. / Matsumura, K. et al. | 2006
-
The specification of the 45-nm node photomask repair process [6349-173]Sung, M. G. / Huh, S. / Cha, B. C. / Choi, S. / Han, W. / Society of Photo-optical Instrumentation Engineers et al. | 2006
-
Determination of spatial CD signatures on photomasks [6349-25]Utzny, C. / Rossiger, M. / Society of Photo-optical Instrumentation Engineers et al. | 2006
-
Sulfur-free cleaning strategy for advanced mask manufacturing [6349-107]Kindt, L. / Watts, A. / Burnham, J. / Aaskov, W. / Society of Photo-optical Instrumentation Engineers et al. | 2006
-
PPC model build methodology: sequential litho and etch verification [6349-72]Mokhberi, A. / Kamat, V. / Sezginer, A. / Zach, F. X. / Percin, G. / Carrero, J. / Huang, H.-T. / Society of Photo-optical Instrumentation Engineers et al. | 2006
-
Point cleaning of mask blanks for extreme ultraviolet lithography [6349-94]Brown, M. / Hartley, J. / Eichenlaub, S. / Rastegar, A. / Marmillion, P. / Roessler, K. / Society of Photo-optical Instrumentation Engineers et al. | 2006
-
Non-chemical cleaning technology for sub-90nm design node photomask manufacturing [6349-106]Hoyeh, S. / Chen, R. / Kozuma, M. / Kuo, J. / Huang, T. / Chen, F. F. / Society of Photo-optical Instrumentation Engineers et al. | 2006
-
Interaction forces on mask surfaces relevant to EUV lithography [6349-129]Hubner, R. / Eichenlaub, S. / Rastegar, A. / Geer, R. / Society of Photo-optical Instrumentation Engineers et al. | 2006
-
Distributed computing in mask data preparation for 45-nm node and below [6349-142]Zhang, W. / Sahouria, E. / Schulze, S. / Society of Photo-optical Instrumentation Engineers et al. | 2006
-
Self-aligned resist patterning by backside flood exposure in photomask [6349-168]Ha, T. / Gyun, B. / Han, O. / Society of Photo-optical Instrumentation Engineers et al. | 2006
-
More evolved PGSD (proximity gap suction developer) for controlling movement of dissolution products [6349-175]Sakurai, H. / Oppata, Y. / Murano, K. / Sakai, M. / Itoh, M. / Watanabe, H. / Funakoshi, H. / Ooishi, K. / Okamoto, Y. / Kaneda, M. et al. | 2006
-
Model-based lithography verification using the new manufacturing sensitivity model [6349-183]Zhang, D. / Melvin, L. / Society of Photo-optical Instrumentation Engineers et al. | 2006