Isotropic treatment of EMF effects in advanced photomasks [7488-85] (English)
- New search for: Azpiroz, J.T.
- New search for: Rosenbluth, A.E.
- New search for: Graur, I.
- New search for: Burr, G.W.
- New search for: Villares, G.
- New search for: BACUS (Technical group)
- New search for: SPIE (Society)
- New search for: Azpiroz, J.T.
- New search for: Rosenbluth, A.E.
- New search for: Graur, I.
- New search for: Burr, G.W.
- New search for: Villares, G.
- New search for: Zurbrick, Larry S.
- New search for: Montgomery, M. Warren
- New search for: BACUS (Technical group)
- New search for: SPIE (Society)
In:
Photomask technology
;
7488 2D
;
2009
-
ISBN:
-
ISSN:
- Conference paper / Print
-
Title:Isotropic treatment of EMF effects in advanced photomasks [7488-85]
-
Contributors:Azpiroz, J.T. ( author ) / Rosenbluth, A.E. ( author ) / Graur, I. ( author ) / Burr, G.W. ( author ) / Villares, G. ( author ) / Zurbrick, Larry S. / Montgomery, M. Warren / BACUS (Technical group) / SPIE (Society)
-
Conference:Conference; 29th, Photomask technology ; 2009 ; Monterey, Calif.
-
Published in:Photomask technology ; 7488 2DPROCEEDINGS-SPIE THE INTERNATIONAL SOCIETY FOR OPTICAL ENGINEERING ; 7488 ; 7488 2D
-
Publisher:
- New search for: SPIE
-
Place of publication:Bellingham, Wash.
-
Publication date:2009-01-01
-
Size:7488 2D
-
Remarks:Some earlier conferences have the title: Symposium on Photomask Technology, or the title: Annual BACUS Symposium on Photomask Technology, or the title: BACUS Symposium on Photomask Technology. Includes bibliographical references and author index.
-
ISBN:
-
ISSN:
-
Type of media:Conference paper
-
Type of material:Print
-
Language:English
-
Keywords:
-
Source:
© Metadata Copyright the British Library Board and other contributors. All rights reserved.
Table of contents conference proceedings
The tables of contents are generated automatically and are based on the data records of the individual contributions available in the index of the TIB portal. The display of the Tables of Contents may therefore be incomplete.
- 74880A
-
Defect printability analysis by lithographic simulation from high resolution mask imagesChen, George / Wiley, James N. / Wang, Jen-Shiang / Howell, Rafael C. / Bai, Shufeng / Chen, Yi-Fan / Chen, Frank / Cao, Yu / Takigawa, Tadahiro / Saito, Yasuko et al. | 2009
- 74880B
-
Printability verification function of mask inspection systemTsuchiya, Hideo / Yamabe, Masaki / Tokita, Masakazu / Takahara, Kenichi / Usuda, Kinya / Ozaki, Fumio / Kikuiri, Nobutaka et al. | 2009
- 74880C
-
Using metrology capabilities of mask inspection equipment for optimizing total lithography performanceTamamushi, Shuichi / Takamatsu, Noriyuki et al. | 2009
- 74880D
-
High MEEF reticle inspection strategyTchikoulaeva, Anna / Kirsch, Remo / Winkelmeier, Stephanie et al. | 2009
- 74880F
-
New tools to enable photomask repair to the 32nm nodeRobinson, Tod / White, Roy / Bozak, Ron / Roessler, Ken / Arruza, Bernie / Hogle, Dennis / Archuletta, Mike / Lee, David et al. | 2009
- 74880G
-
Simulation based mask defect repair verification and dispositionGuo, Eric / Zhao, Shirley / Zhang, Skin / Qian, Sandy / Cheng, Guojie / Vikram, Abhishek / Li, Ling / Chen, Ye / Hsiang, Chingyun / Zhang, Gary et al. | 2009
- 74880H
-
Challenging defect repair techniques for maximizing mask repair yieldGaretto, Anthony / Oster, Jens / Waiblinger, Markus / Edinger, Klaus et al. | 2009
- 74880I
-
Expanding the lithography process window (PW) with CDC technologyWang, Sz-Huei / Chen, Yu-Wan / Kuo, Chung Ming / Graitzer, Erez / Ben-Zvi, Guy / Cohen, Avi et al. | 2009
- 74880J
-
Post exposure bake tuning for 32nm photomasksZweber, A. E. / Komizo, T. / Levin, J. / Whang, J. / Nemoto, S. / Kondo, S. et al. | 2009
- 74880K
-
Reduction of local CD-linewidth variations in resist develop through acoustic streamingLee, Gaston / Dress, Peter / Chen, Ssuwei / Dietze, Uwe et al. | 2009
- 74880L
-
Plasma characterization of Tetra III chrome etch systemGrimbergen, Michael / Nest, D. G. / Yu, Keven / Leung, T. Y. B. / Chandrachood, Madhavi / Ouye, Alan / Singh, Saravjeet / Ibrahim, Ibrahim / Kumar, Ajay / Graves, David et al. | 2009
- 74880M
-
Behavior of the molybdenum silicide thin film by 193nm exposureYang, Sin-Ju / Cha, Han-Sun / Ahn, Jin-ho / Nam, Kee-Soo et al. | 2009
- 74880N
-
Mask performance improvement with mappingUtzny, Clemens / Cotte, Eric / Wandel, Timo / Peters, Jan Hendrik et al. | 2009
- 74880O
-
Enhanced laser-writing techniques for bimetallic grayscale photomasksDykes, James M. / Chapman, Glenn H. et al. | 2009
- 74880T
-
Study of program defects of 22nm nanoimprint template with an advanced e-beam inspection systemHiraka, Takaaki / Mizuochi, Jun / Nakanishi, Yuko / Yusa, Satoshi / Sasaki, Shiho / Kurihara, Masaaki / Toyama, Nobuhito / Morikawa, Yasutaka / Mohri, Hiroshi / Hayashi, Naoya et al. | 2009
- 74880U
-
A cost of ownership model for imprint lithography templates for HDD applicationsGrenon, Brian J. et al. | 2009
- 74880V
-
High-resolution e-beam repair for nanoimprint templatesPritschow, Marcus / Dobberstein, Harald / Edinger, Klaus / Irmscher, Mathias / Resnick, Douglas J. / Selinidis, Kosta / Thompson, Ecron / Waiblinger, Markus et al. | 2009
- 74880W
-
Duplicated quartz template for 2.5 inch discrete track mediaYamashita, Noriko / Oomatsu, Tadashi / Wakamatsu, Satoshi / Nishimaki, Katsuhiro / Usa, Toshihiro / Usuki, Kazuyuki et al. | 2009
- 74880Y
-
Source-mask co-optimization (SMO) using level set methodsTolani, Vikram / Hu, Peter / Peng, Danping / Cecil, Tom / Sinn, Robert / Pang, Linyong / Gleason, Bob et al. | 2009
- 74880Z
-
Aerial imaging for source mask optimization: mask and illumination qualificationSagiv, Amir / Finders, Jo / Kazinczi, Robert / Engelen, Andre / Duray, Frank / Minnaert-Janssen, Ingrid / Mangan, Shmoolik / Kasimov, Dror / Englard, Ilan et al. | 2009
- 74881B
-
Actinic EUVL mask blank inspection capability with time delay integration modeYamane, Takeshi / Tanaka, Toshihiko / Terasawa, Tsuneo / Suga, Osamu et al. | 2009
- 74881C
-
Development of multiple pass exposure in electron beam direct write lithography for sub-32nm nodesMartin, L. / Manakli, S. / Icard, B. / Pradelles, J. / Orobtchouk, R. / Poncet, A. / Pain, L. et al. | 2009
- 74881D
-
Charged particle multi-beam lithography evaluations for sub-16nm hp mask node fabrication and wafer direct writePlatzgummer, Elmar / Klein, Christof / Joechl, Peter / Loeschner, Hans / Witt, Martin / Pilz, Wolfgang / Butschke, Joerg / Jurisch, Michael / Letzkus, Florian / Sailer, Holger et al. | 2009
- 74881E
-
Electron beam mask writer EBM-7000 for hp 32nm generationKamikubo, Takashi / Ohtoshi, Kenji / Nakayamada, Noriaki / Nishimura, Rieko / Sunaoshi, Hitoshi / Akeno, Kiminobu / Mitsui, Soichiro / Tachikawa, Yuichi / Inoue, Hideo / Oogi, Susumu et al. | 2009
- 74881F
-
Exposure results with four column cells in multicolumn EB exposure systemYamada, Akio / Yasuda, Hiroshi / Yamabe, Masaki et al. | 2009
- 74881H
-
Results of an international photomask linewidth comparison of NIST and PTBBodermann, B. / Bergmann, D. / Buhr, E. / Hässler-Grohne, W. / Bosse, H. / Potzick, J. / Dixson, R. / Quintanilha, R. / Stocker, M. / Vladar, A. et al. | 2009
- 74881I
-
Measurement sampling frequency impact on determining magnitude of pattern placement errors on photomasksWhittey, J. / Laske, F. / Roeth, K.-D. / McCormack, J. / Adam, D. / Bender, J. / Berglund, C. N. / Takac, M. / Chou, Seurien et al. | 2009
- 74881J
-
A 193nm optical CD metrology tool for the 32nm nodeLi, Z. / Pilarski, F. / Bergmann, D. / Bodermann, B. et al. | 2009
- 74881K
-
How much is enough? An analysis of CD measurement amount for mask characterizationUllrich, Albrecht / Richter, Jan et al. | 2009
- 74881L
-
Photomask metrology using a 193nm scatterfield microscopeQuintanilha, R. / Barnes, B. M. / Sohn, Y. / Howard, L. P. / Silver, R. M. / Potzick, J. E. / Stocker, M. T. et al. | 2009
- 74881M
-
Experimental test results of pattern placement metrology on photomasks with laser illumination source designed to address double patterning lithography challengesRoeth, Klaus-Dieter / Laske, Frank / Heiden, Michael / Adam, Dieter / Parisoli, Lidia / Czerkas, Slawomir / Whittey, John / Schmidt, Karl-Heinrich et al. | 2009
- 74881N
-
In-die metrology on photomasks for low k1lithographyBeyer, Dirk / Buttgereit, Ute / Scheruebl, Thomas et al. | 2009
- 74881O
-
Critical dimension uniformity using reticle inspection toolWylie, Mark / Hutchinson, Trent / Pan, Gang / Vavul, Thomas / Miller, John / Dayal, Aditya / Hess, Carl / Green, Mike / Hedges, Shad / Chalom, Dan et al. | 2009
- 74881P
-
IntenCD technology for fast and accurate scanner performance determinationParizat, Ziv / Finders, Jo / Demarteau, Marcel / Wissmans, Onno / Minaert Janssen, Ingrid / Duray, Frank / Ben Yishai, Michael / Mangan, Shmoolik / Cohen, Yaron / Elblinger, Yair et al. | 2009
- 74881R
-
Advances in CO2 cryogenic aerosol technology for photomask post AFM repairBowers, Charles / Varghese, Ivin / Balooch, Mehdi / Rodriguez, Jaime et al. | 2009
- 74881S
-
6-inch circle template fabrication for patterned media using a conventional resist and new chemically amplified resistsHoga, Morihisa / Fukuda, Masaharu / Chiba, Tsuyoshi / Ishikawa, Mikio / Itoh, Kimio / Kurihara, Masaaki / Toyama, Nobuhito / Hayashi, Naoya et al. | 2009
- 74881T
-
A new x-ray metrology for profiling nanostructures of patterned mediaOmote, Kazuhiko / Ito, Yoshiyasu / Okazaki, Yuko / Kokaku, Yuichi et al. | 2009
- 74881V
-
Inspection of 32nm imprinted patterns with an advanced e-beam inspection systemXiao, Hong / Ma, Long / Wang, Fei / Zhao, Yan / Jau, Jack / Selinidis, Kosta / Thompson, Ecron / Sreenivasan, S. V. / Resnick, Douglas J. et al. | 2009
- 74881W
-
SEM CD metrology on nanoimprint template: an analytical SEM approachHwu, Justin J. / Babin, Sergey / Page, Lorena / Danilevsky, Alex / Self, Andy / Ueda, Kazuhiro / Koshihara, Shunzuke / Wago, Koichi / Lee, Kim / Kuo, David et al. | 2009
- 74881X
-
Optical metrology for template and disk patterned imprintsSappey, Roman / Jenkins, Art / Venkataram, Sri et al. | 2009
- 74881Z
-
A non-destructive metrology solution for detailed measurements of imprint templates and mediaRoberts, Jeffrey / Hu, Linlin / Eriksson, Torbjörn / Thulin, Kristian / Heidari, Babak et al. | 2009
- 74882A
-
Challenges for the 28nm half node: Is the optical shrink dead?Torres, J. Andres / Otto, Oberdan / Pikus, Fedor G. et al. | 2009
- 74882B
-
Reduced basis method for computational lithographyPomplun, Jan / Zschiedrich, Lin / Burger, Sven / Schmidt, Frank et al. | 2009
- 74882C
-
Efficient analysis of three dimensional EUV mask induced imaging artifacts using the waveguide decomposition methodShao, Feng / Evanschitzky, Peter / Fühner, Tim / Erdmann, Andreas et al. | 2009
- 74882D
-
Isotropic treatment of EMF effects in advanced photomasksTirapu Azpiroz, Jaione / Rosenbluth, Alan E. / Graur, Ioana / Burr, Geoffrey W. / Villares, Gustavo et al. | 2009
- 74882F
-
50nm particle removal from EUV mask blank using standard wet cleanShimomura, Takeya / Liang, Ted et al. | 2009
- 74882G
-
Accurate models for EUV lithographyHendrickx, Eric / Lorusso, Gian F. / Jiang, Jiong / Chen, Luoqi / Liu, Wei / Van Setten, Eelco / Hansen, Steve et al. | 2009
- 74882H
-
Investigation of buried EUV mask defect printability using actinic inspection and fast simulationClifford, Chris H. / Chan, Tina T. / Neureuther, Andrew R. / Goldberg, Kenneth A. / Mochi, Iacopo / Liang, Ted et al. | 2009
- 74882I
-
Study of EUVL mask defect inspection using 199-nm inspection tool with super-resolution methodShigemura, Hiroyuki / Amano, Tsuyoshi / Arisawa, Yukiyasu / Suga, Osamu / Hashimoto, Hideaki / Saito, Masanori / Takeda, Masaya / Kikuiri, Nobutaka / Hirano, Ryoichi et al. | 2009
- 74882J
-
Single-mask double-patterning lithographyGhaida, Rani S. / Torres, George / Gupta, Puneet et al. | 2009
- 74882K
-
Resolving contact conflict for double patterning splitZeggaoui, N. / Farys, V. / Trouiller, Y. / Yesilada, E. / Robert, F. / Belledent, J. / Besacier, M. et al. | 2009
- 74882L
-
Parallel processing for pitch splitting decompositionBarnes, Levi / Li, Yong / Wadkins, David / Biederman, Steve / Miloslavsky, Alex / Cork, Chris et al. | 2009
- 74882M
-
Back-glass cleaning: reducing repelliclization costs by focused actionPerissinotti, Francesca / Sartelli, Luca / Miyashita, Hiroyuki / Chiu, Ming-Chien / Liu, Yu-Chang / Chung, Hung-Chieh / Sundermann, Frank / Gough, Stuart / Tourniol, Sonia / Dufaye, Felix et al. | 2009
- 74882N
-
Feasibility study of the approach to flare, shadowing, optical and process corrections for EUVL OPCNikolsky, Peter / Davydova, Natalia / van Ingen Schenau, Koen / van Adrichem, Paul / Hendrickx, Eric / Lorusso, Gian / Jiang, Jiong / Liu, Wei / Liu, Huayu et al. | 2009
- 74882O
-
Novel EUV mask inspection tool with 199-nm laser source and high-resolution opticsKikuiri, Nobutaka / Hirono, Masatoshi / Hirano, Ryoichi / Amano, Tsuyoshi / Suga, Osamu / Shigemura, Hiroyuki / Hashimoto, Hideaki / Takahara, Kenichi / Usuda, Kinya et al. | 2009
- 74882Q
-
Aerial plane inspection for advanced photomask defect detectionKim, Won Sun / Park, Jin Hyung / Chung, Dong Hoon / Jeon, Chan Uk / Cho, Han Ku / Hutchinson, Trent / Lee, Oscar / Huang, William / Dayal, Aditya et al. | 2009
- 74882R
-
AIMS mask qualification for 32nm nodeRichter, Rigo / Thaler, Thomas / Seitz, Holger / Stroessner, Ulrich / Scheruebl, Thomas et al. | 2009
- 74882S
-
Inspection of complex OPC patterns for 4x node and beyondHan, Sang Hoon / Cho, Wonil / Kim, Won-Sun / Chung, Dong Hoon / Jeon, Chan-Uk / Cho, HanKu et al. | 2009
- 74882U
-
Theoretical foundations of die-to-model inspectionFaivishevsky, Lev / Khristo, Sergey / Schwarzband, Ishai / Mangan, Shmoolik et al. | 2009
- 74882V
-
New analysis tools and processes for mask repair verification and defect disposition based on AIMS imagesRichter, Rigo / Poortinga, Eric / Scheruebl, Thomas et al. | 2009
- 74882W
-
Reducing the shot counts of mask writing with OPC by extracting repeating patternsShoji, Masahiro / Inoue, Tadao / Yamabe, Masaki et al. | 2009
- 74882X
-
Improving the quality of fractured mask data through in-place optimization of the fracturing solutionBhardwaj, D. S. S. / Rao, Nageswara / Rajagopalan, Archana / Bhat, Nitin P. / Pai, Ravi R. et al. | 2009
- 74882Y
-
Economic assessment of lithography strategies for the 22nm technology nodeJhaveri, Tejas / Strojwas, Andrzej / Pileggi, Larry / Rovner, Vyacheslav et al. | 2009
- 74882Z
-
Improved particle control by adopting advanced ceramic materials in dry etcher for defect reductionMin, Dong-Soo / Hwang, Guen-Ho / Lee, Dong-Heck / Choi, Sang-Soo / Son, Hyo-Seok / Lee, Hyung-Jae / Son, Seung-Mun / Park, Kyung-Ho et al. | 2009
- 74883A
-
OPC model space approach to in-line process monitoring structuresSabatier, Romuald / Di Giacomo, Antonio / Fossati, Caroline / Bourennane, Salah et al. | 2009
- 74883B
-
Practical application of OPC in electrical circuitsMcCallum, M. / Tsiamis, A. / Smith, S. / Hourd, A. C. / Stevenson, J. T. M. / Walton, A. J. et al. | 2009
- 74883C
-
3D Si aperture-plates combined with programmable blanking-plates for multi-beam mask writingLetzkus, Florian / Irmscher, Mathias / Jurisch, Michael / Platzgummer, Elmar / Klein, Christof / Loeschner, Hans et al. | 2009
- 74883E
-
Revisit to aberration: a simulation study of lens aberration induced overlay misalignment and its experimental validationKim, Hoyeon / Lee, Sung-Woo / Lee, Byeongcheol / Lee, Sanghwa / Cho, Kyoungyong / Choi, Seong-Woon / Park, Chan-Hoon et al. | 2009
- 74883F
-
Wafer topography proximity effect modeling and correction for implant layer patterningSong, Hua / Shiely, James / Su, Irene / Zhang, Lin / Lei, Wen-Kang et al. | 2009
- 74883G
-
Fast and accurate computation of partially coherent imaging by stacked pupil shift operatorLian, Yaogang / Zhou, Xin et al. | 2009
- 74883H
-
Extensions of boundary layer modeling of photomask topography effects to fast-CAD using pattern matchingMiller, Marshal A. / Yamazoe, Kenji / Neureuther, Andrew R. et al. | 2009
- 74883I
-
Calibration of e-beam and etch models using SEM imagesChuyeshov, Constantin / Carrero, Jesus / Sezginer, Apo / Kamat, Vishnu et al. | 2009
- 74883J
-
Predictive modeling for EBPC in EBDWZimmermann, Rainer / Schulz, Martin / Hoppe, Wolfgang / Stock, Hans-Jürgen / Demmerle, Wolfgang / Zepka, Alex / Isoyan, Artak / Bomholt, Lars / Manakli, Serdar / Pain, Laurent et al. | 2009
- 74883K
-
Effective methodology to make DFM guide lineChoi, Jaeyoung / Shim, Yeonah / Yun, Kyunghee / Choi, Kwangseon / Han, Jaewon et al. | 2009
- 74883L
-
pRSM: models for model-based litho-hotspot repairsChew, Marko / Endo, Toshikazu / Yang, Yue et al. | 2009
- 74883M
-
Effect of SRAF placement on process window for technology nodes that uses variable etch biasSeoud, Ahmed M. / Tawfik, Tamer M. et al. | 2009
- 74883N
-
FPGA as the programmable tool for yield improvementLa, Tho L. / Li, Xiao-Yu / Chen, Charles / Wang, M. H. / Huang, Chih-Chung / Chang, Ching-Tsai / Lin, Hornjaan / Tseng, Yming / Tseng, Ian / Wu, You R. et al. | 2009
- 74883O
-
Model-based hints for litho-hotspots repairYang, Yue / Chew, Marko / Endo, Toshikazu / Simmons, Mark et al. | 2009
- 74883P
-
What is a good empirical model?Khaliullin, Eldar / Lian, Yaogang / Davey, Mark / Zhou, Xin et al. | 2009
- 74883Q
-
Mask inspection placement maps for improving overlayParizat, Ziv / de Kruif, Robert / Finders, Jo / Minnaert-Janssen, Ingrid / Duray, Frank / Ben Yishai, Michael / Mangan, Shmoolik / Cohen, Yaron / Englard, Ilan et al. | 2009
- 748801
-
Front Matter: Volume 7488| 2009
- 748803
-
Mask industry assessment: 2009Hughes, Greg / Yun, Henry et al. | 2009
- 748805
-
PMJ panel discussion overview: mask manufacturing with massive or multi-parallel methodSugawara, Minoru / Kato, Kokoro et al. | 2009
- 748807
-
SMO photomask inspection in the lithographic planeGallagher, Emily / Badger, Karen / Kodera, Yutaka / Tirapu Azpiroz, Jaione / Graur, Ioana / Halle, Scott D. / Lai, Kafai / McIntyre, Gregory R. / Wihl, Mark J. / Chen, Shaoyun et al. | 2009
- 748808
-
Aerial image based die-to-model inspections of advanced technology masksKim, Jun / Lei, Wei-Guo / McCall, Joan / Zaatri, Suheil / Penn, Michael / Nagpal, Rajesh / Faivishevsky, Lev / Ben-Yishai, Michael / Danino, Udy / Tam, Aviram et al. | 2009
- 748809
-
Mask pattern recovery by level set method based inverse inspection technology (IIT) and its application on defect auto dispositionPark, Jin-Hyung / Chung, Paul D. H. / Jeon, Chan-Uk / Cho, Han Ku / Pang, Linyong / Peng, Danping / Tolani, Vikram / Cecil, Tom / Kim, David / Baik, KiHo et al. | 2009
- 748811
-
Sub-resolution assist features placement using cost-function-reduction methodZhang, Jinyu / Xiong, Wei / Wang, Yan / Yu, Zhiping / Tsai, Min-Chun et al. | 2009
- 748812
-
Inverse lithography (ILT) mask manufacturability for full-chip deviceKim, Byung-Gook / Suh, Sung Soo / Woo, Sang Gyun / Cho, HanKu / Xiao, Guangming / Son, Dong Hwan / Irby, Dave / Kim, David / Baik, Ki-Ho et al. | 2009
- 748813
-
SRAF enhancement using inverse lithography for 32nm hole patterning and beyondFarys, V. / Chaoui, F. / Entradas, J. / Robert, F. / Toublan, O. / Trouiller, Y. et al. | 2009
- 748814
-
Model-based assist feature placement for 32nm and 22nm technology nodes using inverse mask technologyPoonawala, Amyn / Painter, Benjamin / Kerchner, Chip et al. | 2009
- 748815
-
Model-based assist featuresYenikaya, Bayram / Alexandrov, Oleg / Kwon, Yongjun / Liu, Anwei / Mokhberi, Ali / Sezginer, Apo et al. | 2009
- 748816
-
Correlation of overlay performance and reticle substrate non-flatness effects in EUV lithographyRaghunathan, Sudhar / Munder, Adam / Hartley, John / Sohn, Jaewoong / Orvek, Kevin et al. | 2009
- 748818
-
Thin absorber EUVL mask with light-shield border for full-field scanner: flatness and image placement change through mask processKamo, Takashi / Tanaka, Yuusuke / Tanaka, Toshihiko / Suga, Osamu / Abe, Tsukasa / Takikawa, Tadahiko / Mohri, Hiroshi / Shoki, Tsutomu / Usui, Youichi et al. | 2009
- 748819
-
EUVL ML mask blank fiducial mark application for ML defect mitigationYan, Pei-yang et al. | 2009
- 748820
-
Jet and flash imprint lithography for the fabrication of patterned media drivesSchmid, Gerard M. / Brooks, Cynthia / Ye, Zhengmao / Johnson, Steve / LaBrake, Dwayne / Sreenivasan, S. V. / Resnick, Douglas J. et al. | 2009
- 748821
-
Fabless company mask technology approach: fabless but not fab-carelessHisamura, Toshiyuki / Wu, Xin et al. | 2009
- 748822
-
A universal mask management relational databaseMorey-Chaisemartin, Philippe et al. | 2009
- 748823
-
Deployment of OASIS.MASK (P44) as direct input for mask inspection of advanced photomasksZaatri, Suheil / Liu, Yan / Asturias, Michael / McCall, Joan / Lei, Wei-Guo J. / Lapidot, Tsafi / Ofek, Khen / Tam, Aviram / Wagner, Mark / Bowhill, Amanda et al. | 2009
- 748824
-
Mask data prioritization based on design intent - IIEndo, Masakazu / Kato, Kokoro / Inoue, Tadao / Yamabe, Masaki et al. | 2009
- 748825
-
Favorable hierarchy detection through Lempel-Ziv coding based algorithm to aid hierarchical fracturing in mask data preparationBhardwaj, D. S. S. / Ghosh, Nilanjan / Rao, Nageswara / Pai, Ravi R. et al. | 2009
- 748827
-
Latest results and computing performance of the ePLACE data preparation toolGramss, J. / Galler, R. / Neick, V. / Stoeckel, A. / Weidenmueller, U. / Melzer, D. / Suelzle, M. / Butschke, J. / Baetz, U. et al. | 2009
- 748828
-
Impact of mask roughness on wafer line-edge roughnessMack, Chris A. et al. | 2009
- 748830
-
The study of the birefringence as MoSi based materials for immersion lithographyKang, Ju-Hyun / Cha, Han-Sun / Yang, Sin-Ju / Ahn, Jin-Ho / Nam, Kee-Soo et al. | 2009
- 748831
-
A study of contour image comparison measurement for photomask patterns of 32 nm and beyondYonekura, Isao / Hakii, Hidemitsu / Tanaka, Keishi / Higuchi, Masaru / Ogiso, Yoshiaki / Oba, Toshihide / Iwai, Toshimichi / Matsumoto, Jun / Nakamura, Takayuki et al. | 2009
- 748832
-
Noble approach for mask-wafer measurement by design-based metrology integration systemMito, Hiroaki / Hayano, Katsuya / Maeda, Tatsuya / Mohri, Hiroshi / Sato, Hidetoshi / Matsuoka, Ryoichi / Sukegawa, Shigeki et al. | 2009
- 748835
-
Adaptive OPC approach based on image simulationLiu, Qingwei / Zhang, Liguo et al. | 2009
- 748836
-
Introducing process variability score for process window OPC optimizationFakhry, Moutaz / Maaty, H. / Seoud, A. et al. | 2009
- 748837
-
Patterning of 90nm node flash contact hole with assist feature using KrFShim, Yeonah / Jun, Sungho / Choi, Jaeyoung / Choi, Kwangseon / Han, Jae-won / Wang, Kechang / McCarthy, John / Xiao, Guangming / Dai, Grace / Son, DongHwan et al. | 2009
- 748838
-
On comparing conventional and electrically driven OPC techniquesReinhard, Dominic / Gupta, Puneet et al. | 2009
-
Printability verification function of mask inspection system [7488-104]Tsuchiya, H. / Yamabe, M. / Tokita, M. / Takahara, K. / Usuda, K. / Ozaki, F. / Kikuiri, N. / BACUS (Technical group) / SPIE (Society) et al. | 2009
-
New tools to enable photomask repair to the 32nm node [7488-146]Robinson, T. / White, R. / Bozak, R. / Roessler, K. / Arruza, B. / Hogle, D. / Archuletta, M. / Lee, D. / BACUS (Technical group) / SPIE (Society) et al. | 2009
-
Critical dimension uniformity using reticle inspection tool [7488-58]Wylie, M. / Hutchinson, T. / Pan, G. / Vavul, T. / Miller, J. / Dayal, A. / Hess, C. / Green, M. / Hedges, S. / Chalom, D. et al. | 2009
-
Latest results and computing performance of the ePLACE data preparation tool [7488-79]Gramss, J. / Galler, R. / Neick, V. / Stoeckel, A. / Weidenmueller, U. / Melzer, D. / Suelzle, M. / Butschke, J. / Baetz, U. / BACUS (Technical group) et al. | 2009
-
Investigation of buried EUV mask defect printability using actinic inspection and fast simulation [7488-90]Clifford, C.H. / Chan, T.T. / Neureuther, A.R. / Goldberg, K.A. / Mochi, I. / Liang, T. / BACUS (Technical group) / SPIE (Society) et al. | 2009
-
Improving the quality of fractured mask data through in-place optimization of the fracturing solution [7488-109]Bhardwaj, D.S.S. / Rao, N. / Rajagopalan, A. / Bhat, N.P. / Pai, R.R. / BACUS (Technical group) / SPIE (Society) et al. | 2009
-
Noble approach for mask-wafer measurement by design-based metrology integration system [7488-114]Mito, H. / Hayano, K. / Maeda, T. / Mohri, H. / Sato, H. / Matsuoka, R. / Sukegawa, S. / BACUS (Technical group) / SPIE (Society) et al. | 2009
-
Extensions of boundary layer modeling of photomask topography effects to fast-CAD using pattern matching [7488-130]Miller, M.A. / Yamazoe, K. / Neureuther, A.R. / BACUS (Technical group) / SPIE (Society) et al. | 2009
-
Mask industry assessment: 2009 [7488-02]Hughes, G. / Yun, H. / BACUS (Technical group) / SPIE (Society) et al. | 2009
-
SMO photomask inspection in the lithographic plane [7488-06]Gallagher, E. / Badger, K. / Kodera, Y. / Azpiroz, J.T. / Graur, I. / Halle, S.D. / Lai, K. / McIntyre, G.R. / Wihl, M.J. / Chen, S. et al. | 2009
-
Challenging defect repair techniques for maximizing mask repair yield [7488-15]Garetto, A. / Oster, J. / Waiblinger, M. / Edinger, K. / BACUS (Technical group) / SPIE (Society) et al. | 2009
-
A cost of ownership model for imprint lithography templates for HDD applications [7488-28]Grenon, B.J. / BACUS (Technical group) / SPIE (Society) et al. | 2009
-
A new x-ray metrology for profiling nanostructures of patterned media [7488-65]Omote, K. / Ito, Y. / Okazaki, Y. / Kokaku, Y. / BACUS (Technical group) / SPIE (Society) et al. | 2009
-
Mask data prioritization based on design intent - II [7488-76]Endo, M. / Kato, K. / Inoue, T. / Yamabe, M. / BACUS (Technical group) / SPIE (Society) et al. | 2009
-
Reduced basis method for computational lithography [7488-83]Pomplun, J. / Zschiedrich, L. / Burger, S. / Schmidt, F. / BACUS (Technical group) / SPIE (Society) et al. | 2009
-
Accurate models for EUV lithography [7488-99]Hendrickx, E. / Lorusso, G.F. / Jiang, J. / Chen, L. / Liu, W. / Van Setten, E. / Hansen, S. / BACUS (Technical group) / SPIE (Society) et al. | 2009
-
Theoretical foundations of die-to-model inspection [7488-106]Faivishevsky, L. / Khristo, S. / Schwarzband, I. / Mangan, S. / BACUS (Technical group) / SPIE (Society) et al. | 2009
-
Defect printability analysis by lithographic simulation from high resolution mask images [7488-09]Chen, G. / Wiley, J.N. / Wang, J.-S. / Howell, R.C. / Bai, S. / Chen, Y.-F. / Chen, F. / Cao, Y. / Takigawa, T. / Saito, Y. et al. | 2009
-
SRAF enhancement using inverse lithography for 32nm hole patterning and beyond [7488-37]Farys, V. / Chaoui, F. / Entradas, J. / Robert, F. / Toublan, O. / Trouiller, Y. / BACUS (Technical group) / SPIE (Society) et al. | 2009
-
Model-based hints for litho-hotspots repair [7488-142]Yang, Y. / Chew, M. / Endo, T. / Simmons, M. / BACUS (Technical group) / SPIE (Society) et al. | 2009
-
What is a good empirical model? [7488-143]Khaliullin, E. / Lian, Y. / Davey, M. / Zhou, X. / BACUS (Technical group) / SPIE (Society) et al. | 2009
-
PMJ panel discussion overview: mask manufacturing with massive or multi-parallel method [7488-04]Sugawara, M. / Kato, K. / BACUS (Technical group) / SPIE (Society) et al. | 2009
-
Aerial image based die-to-model inspections of advanced technology masks [7488-07]Kim, J. / Lei, W.-G. / McCall, J. / Zaatri, S. / Penn, M. / Nagpal, R. / Faivishevsky, L. / Ben-Yishai, M. / Danino, U. / Tam, A. et al. | 2009
-
Post exposure bake tuning for 32nm photomasks [7488-17]Zweber, A.E. / Komizo, T. / Levin, J. / Whang, J. / Nemoto, S. / Kondo, S. / BACUS (Technical group) / SPIE (Society) et al. | 2009
-
Duplicated quartz template for 2.5 inch discrete track media [7488-30]Yamashita, N. / Oomatsu, T. / Wakamatsu, S. / Nishimaki, K. / Usa, T. / Usuki, K. / BACUS (Technical group) / SPIE (Society) et al. | 2009
-
Thin absorber EUVL mask with light-shield border for full-field scanner: flatness and image placement change through mask process [7488-42]Kamo, T. / Tanaka, Y. / Tanaka, T. / Suga, O. / Abe, T. / Takikawa, T. / Mohri, H. / Shoki, T. / Usui, Y. / BACUS (Technical group) et al. | 2009
-
Actinic EUVL mask blank inspection capability with time delay integration mode (First Place Best Paper Award) [7488-45]Yamane, T. / Tanaka, T. / Terasawa, T. / Suga, O. / BACUS (Technical group) / SPIE (Society) et al. | 2009
-
In-die metrology on photomasks for low k1 lithography [7488-57]Beyer, D. / Buttgereit, U. / Scherubl, T. / BACUS (Technical group) / SPIE (Society) et al. | 2009
-
Impact of mask roughness on wafer line-edge roughness [7488-80]Mack, C.A. / BACUS (Technical group) / SPIE (Society) et al. | 2009
-
50nm particle removal from EUV mask blank using standard wet clean [7488-87]Shimomura, T. / Liang, T. / BACUS (Technical group) / SPIE (Society) et al. | 2009
-
Resolving contact conflict for double patterning split [7488-93]Zeggaoui, N. / Farys, V. / Trouiller, Y. / Yesilada, E. / Robert, F. / Belledent, J. / Besacier, M. / BACUS (Technical group) / SPIE (Society) et al. | 2009
-
Parallel processing for pitch splitting decomposition [7488-94]Barnes, L. / Li, Y. / Wadkins, D. / Biederman, S. / Miloslavsky, A. / Cork, C. / BACUS (Technical group) / SPIE (Society) et al. | 2009
-
Adaptive OPC approach based on image simulation [7488-116]Liu, Q. / Zhang, L. / BACUS (Technical group) / SPIE (Society) et al. | 2009
-
OPC model space approach to in-line process monitoring structures [7488-121]Sabatier, R. / Di Giacomo, A. / Fossati, C. / Bourennane, S. / BACUS (Technical group) / SPIE (Society) et al. | 2009
-
Wafer topography proximity effect modeling and correction for implant layer patterning [7488-126]Song, H. / Shiely, J. / Su, I. / Zhang, L. / Lei, W.-K. / BACUS (Technical group) / SPIE (Society) et al. | 2009
-
FPGA as the programmable tool for yield improvement [7488-141]La, T.L. / Li, X.-Y. / Chen, C. / Wang, M.H. / Huang, C.-C. / Chang, C.-T. / Lin, H. / Tseng, Y. / Wu, Y.R. / BACUS (Technical group) et al. | 2009
-
Effect of SRAF placement on process window for technology nodes that uses variable etch bias [7488-138]Seoud, A.M. / Tawfik, T.M. / BACUS (Technical group) / SPIE (Society) et al. | 2009
-
Using metrology capabilities of mask inspection equipment for optimizing total lithography performance [7488-11]Tamamushi, S. / Takamatsu, N. / BACUS (Technical group) / SPIE (Society) et al. | 2009
-
Simulation based mask defect repair verification and disposition [7488-14]Guo, E. / Zhao, S. / Zhang, S. / Qian, S. / Cheng, G. / Vikram, A. / Li, L. / Chen, Y. / Hsiang, C. / Zhang, G. et al. | 2009
-
Behavior of the molybdenum silicide thin film by 193nm exposure [7488-20]Yang, S.-J. / Cha, H.-S. / Ahn, J. / Nam, K.-S. / BACUS (Technical group) / SPIE (Society) et al. | 2009
-
Inverse lithography (ILT) mask manufacturability for full-chip device [7488-95]Kim, B.-G. / Suh, S.S. / Woo, S.G. / Cho, H. / Xiao, G. / Son, D.H. / Irby, D. / Kim, D. / Baik, K.-H. / BACUS (Technical group) et al. | 2009
-
Optical metrology for template and disk patterned imprints [7488-69]Sappey, R. / Jenkins, A. / Venkataram, S. / BACUS (Technical group) / SPIE (Society) et al. | 2009
-
On comparing conventional and electrically driven OPC techniques [7488-119]Reinhard, D. / Gupta, P. / BACUS (Technical group) / SPIE (Society) et al. | 2009
-
Predictive modeling for EBPC in EBDW [7488-135]Zimmermann, R. / Schulz, M. / Hoppe, W. / Stock, H.-J. / Demmerle, W. / Zepka, A. / Isoyan, A. / Bomholt, L. / Manakli, S. / Pain, L. et al. | 2009
-
Model-based assist feature placement for 32nm and 22nm technology nodes using inverse mask technology [7488-38]Poonawala, A. / Painter, B. / Kerchner, C. / BACUS (Technical group) / SPIE (Society) et al. | 2009
-
Correlation of overlay performance and reticle substrate non-flatness effects in EUV lithography [7488-40]Raghunathan, S. / Munder, A. / Hartley, J. / Sohn, J. / Orvek, K. / BACUS (Technical group) / SPIE (Society) et al. | 2009
-
Study of EUVL mask defect inspection using 199-nm inspection tool with super-resolution method [7488-91]Shigemura, H. / Amano, T. / Arisawa, Y. / Suga, O. / Hashimoto, H. / Saito, M. / Takeda, M. / Kikuiri, N. / Hirano, R. / BACUS (Technical group) et al. | 2009
-
High MEEF reticle inspection strategy [7488-12]Tchikoulaeva, A. / Kirsch, R. / Winkelmeier, S. / BACUS (Technical group) / SPIE (Society) et al. | 2009
-
Mask performance improvement with mapping [7488-21]Utzny, C. / Cotte, E. / Wandel, T. / Peters, J.H. / BACUS (Technical group) / SPIE (Society) et al. | 2009
-
Study of program defects of 22nm nanoimprint template with an advanced e-beam inspection system [7488-27]Hiraka, T. / Mizuochi, J. / Nakanishi, Y. / Yusa, S. / Sasaki, S. / Kurihara, M. / Toyama, N. / Morikawa, Y. / Mohri, H. / Hayashi, N. et al. | 2009
-
Sub-resolution assist features placement using cost-function-reduction method [7488-35]Zhang, J. / Xiong, W. / Wang, Y. / Yu, Z. / Tsai, M.-C. / BACUS (Technical group) / SPIE (Society) et al. | 2009
-
Development of multiple pass exposure in electron beam direct write lithography for sub-32nm nodes [7488-46]Martin, L. / Manakli, S. / Icard, B. / Pradelles, J. / Orobtchouk, R. / Poncet, A. / Pain, L. / BACUS (Technical group) / SPIE (Society) et al. | 2009
-
Jet and flash imprint lithography for the fabrication of patterned media drives [7488-72]Schmid, G.M. / Brooks, C. / Ye, Z. / Johnson, S. / LaBrake, D. / Sreenivasan, S.V. / Resnick, D.J. / BACUS (Technical group) / SPIE (Society) et al. | 2009
-
Challenges for the 28nm half node: Is the optical shrink dead? [7488-82]Torres, J.A. / Otto, O. / Pikus, F.G. / BACUS (Technical group) / SPIE (Society) et al. | 2009
-
Single-mask double-patterning lithography [7488-92]Ghaida, R.S. / Torres, G. / Gupta, P. / BACUS (Technical group) / SPIE (Society) et al. | 2009
-
Aerial plane inspection for advanced photomask defect detection (First Place Best Poster Award) [7488-101]Kim, W.S. / Park, J.H. / Chung, D.H. / Jeon, C.U. / Cho, H.K. / Hutchinson, T. / Lee, O. / Huang, W. / Dayal, A. / BACUS (Technical group) et al. | 2009
-
New analysis tools and processes for mask repair verification and defect disposition based on AIMS images (Third Place Best Poster Award) [7488-107]Richter, R. / Poortinga, E. / Scherubl, T. / BACUS (Technical group) / SPIE (Society) et al. | 2009
-
Improved particle control by adopting advanced ceramic materials in dry etcher for defect reduction [7488-111]Min, D.-S. / Hwang, G.-H. / Lee, D.-H. / Choi, S.-S. / Son, H.-S. / Lee, H.-J. / Son, S.-M. / Park, K.-H. / BACUS (Technical group) / SPIE (Society) et al. | 2009
-
A study of contour image comparison measurement for photomask patterns of 32 nm and beyond [7488-113]Yonekura, I. / Hakii, H. / Tanaka, K. / Higuchi, M. / Ogiso, Y. / Oba, T. / Iwai, T. / Matsumoto, J. / Nakamura, T. / BACUS (Technical group) et al. | 2009
-
Fast and accurate computation of partially coherent imaging by stacked pupil shift operator [7488-128]Lian, Y. / Zhou, X. / BACUS (Technical group) / SPIE (Society) et al. | 2009
-
Effective methodology to make DFM guide line [7488-136]Choi, J. / Shim, Y. / Yun, K. / Choi, K. / Han, J. / BACUS (Technical group) / SPIE (Society) et al. | 2009
-
High-resolution e-beam repair for nanoimprint templates [7488-29]Pritschow, M. / Dobberstein, H. / Edinger, K. / Irmscher, M. / Resnick, D.J. / Selinidis, K. / Thompson, E. / Waiblinger, M. / BACUS (Technical group) / SPIE (Society) et al. | 2009
-
Isotropic treatment of EMF effects in advanced photomasks [7488-85]Azpiroz, J.T. / Rosenbluth, A.E. / Graur, I. / Burr, G.W. / Villares, G. / BACUS (Technical group) / SPIE (Society) et al. | 2009
-
Feasibility study of the approach to flare, shadowing, optical and process corrections for EUVL OPC [7488-89]Nikolsky, P. / Davydova, N. / van Ingen Schenau, K. / van Adrichem, P. / Hendrickx, E. / Lorusso, G. / Jiang, J. / Liu, W. / Liu, H. / BACUS (Technical group) et al. | 2009
-
Back-glass cleaning: reducing repelliclization costs by focused action [7488-96]Perissinotti, F. / Sartelli, L. / Miyashita, H. / Chiu, M.-C. / Liu, Y.-C. / Chung, H.-C. / Sundermann, F. / Gough, S. / Tourniol, S. / Dufaye, F. et al. | 2009
-
Reducing the shot counts of mask writing with OPC by extracting repeating patterns [7488-108]Shoji, M. / Inoue, T. / Yamabe, M. / BACUS (Technical group) / SPIE (Society) et al. | 2009
-
The study of the birefringence as MoSi based materials for immersion lithography [7488-112]Kang, J.-H. / Cha, H.-S. / Yang, S.-J. / Ahn, J.-H. / Nam, K.-S. / BACUS (Technical group) / SPIE (Society) et al. | 2009
-
Patterning of 90nm node flash contact hole with assist feature using KrF [7488-118]Shim, Y.-A. / Jun, S. / Choi, J. / Choi, K. / Han, J. / Wang, K. / McCarthy, J. / Xiao, G. / Dai, G. / Son, D. et al. | 2009
-
Practical application of OPC in electrical circuits [7488-122]McCallum, M. / Tsiamis, A. / Smith, S. / Hourd, A.C. / Stevenson, J.T.M. / Walton, A.J. / BACUS (Technical group) / SPIE (Society) et al. | 2009
-
Mask pattern recovery by level set method based inverse inspection technology (IIT) and its application on defect auto disposition [7488-08]Park, J.-H. / Chung, P.D.H. / Jeon, C.-U. / Cho, H.K. / Pang, L. / Peng, D. / Tolani, V. / Cecil, T. / Kim, D. / Baik, K. et al. | 2009
-
Reduction of local CD-linewidth variations in resist develop through acoustic streaming [7488-18]Lee, G. / Dress, P. / Chen, S. / Dietze, U. / BACUS (Technical group) / SPIE (Society) et al. | 2009
-
Source-mask co-optimization (SMO) using level set methods (Second Place Best Paper Award) [7488-32]Tolani, V. / Hu, P. / Peng, D. / Cecil, T. / Sinn, R. / Pang, L. / Gleason, B. / BACUS (Technical group) / SPIE (Society) et al. | 2009
-
Charged particle multi-beam lithography evaluations for sub-16nm hp mask node fabrication and wafer direct write (Third Place Best Paper Award) [7488-47]Platzgummer, E. / Klein, C. / Joechl, P. / Loeschner, H. / Witt, M. / Pilz, W. / Butschke, J. / Jurisch, M. / Letzkus, F. / Sailer, H. et al. | 2009
-
Results of an international photomask linewidth comparison of NIST and PTB [7488-51]Bodermann, B. / Bergmann, D. / Buhr, E. / Hassler-Grohne, W. / Bosse, H. / Potzick, J. / Dixson, R. / Quintanilha, R. / Stocker, M. / Vladar, A. et al. | 2009
-
Measurement sampling frequency impact on determining magnitude of pattern placement errors on photomasks [7488-52]Whittey, J. / Laske, F. / Roeth, K.-D. / McCormack, J. / Adam, D. / Bender, J. / Berglund, C.N. / Takac, M. / Chou, S. / BACUS (Technical group) et al. | 2009
-
How much is enough? An analysis of CD measurement amount for mask characterization [7488-54]Ullrich, A. / Richter, J. / BACUS (Technical group) / SPIE (Society) et al. | 2009
-
Experimental test results of pattern placement metrology on photomasks with laser illumination source designed to address double patterning lithography challenges [7488-56]Roeth, K.-D. / Laske, F. / Heiden, M. / Adam, D. / Parisoli, L. / Czerkas, S. / Whittey, J. / Schmidt, K.-H. / BACUS (Technical group) / SPIE (Society) et al. | 2009
-
Advances in CO2 cryogenic aerosol technology for photomask post AFM repair [7488-62]Bowers, C. / Varghese, I. / Balooch, M. / Rodriguez, J. / BACUS (Technical group) / SPIE (Society) et al. | 2009
-
6-inch circle template fabrication for patterned media using a conventional resist and new chemically amplified resists [7488-64]Hoga, M. / Fukuda, M. / Chiba, T. / Ishikawa, M. / Itoh, K. / Kurihara, M. / Toyama, N. / Hayashi, N. / BACUS (Technical group) / SPIE (Society) et al. | 2009
-
Fabless company mask technology approach: fabless but not fab-careless [7488-73]Hisamura, T. / Wu, X. / BACUS (Technical group) / SPIE (Society) et al. | 2009
-
Economic assessment of lithography strategies for the 22nm technology node [7488-144]Jhaveri, T. / Strojwas, A. / Pileggi, L. / Rovner, V. / BACUS (Technical group) / SPIE (Society) et al. | 2009
-
Expanding the lithography process window (PW) with CDC technology [7488-16]Wang, S.-H. / Chen, Y.-W. / Kuo, C.M. / Graitzer, E. / Ben-Zvi, G. / Cohen, A. / BACUS (Technical group) / SPIE (Society) et al. | 2009
-
EUVL ML mask blank fiducial mark application for ML defect mitigation [7488-43]Yan, P. / BACUS (Technical group) / SPIE (Society) et al. | 2009
-
Exposure results with four column cells in multicolumn EB exposure system [7488-49]Yamada, A. / Yasuda, H. / Yamabe, M. / BACUS (Technical group) / SPIE (Society) et al. | 2009
-
Inspection of 32nm imprinted patterns with an advanced e-beam inspection system [7488-67]Xiao, H. / Ma, L. / Wang, F. / Zhao, Y. / Jau, J. / Selinidis, K. / Thompson, E. / Sreenivasan, S.V. / Resnick, D.J. / BACUS (Technical group) et al. | 2009
-
A non-destructive metrology solution for detailed measurements of imprint templates and media [7488-71]Roberts, J. / Hu, L. / Eriksson, T. / Thulin, K. / Heidari, B. / BACUS (Technical group) / SPIE (Society) et al. | 2009
-
Deployment of OASIS.MASK (P44) as direct input for mask inspection of advanced photomasks [7488-75]Zaatri, S. / Liu, Y. / Asturias, M. / McCall, J. / Lei, W.-G.J. / Lapidot, T. / Ofek, K. / Tam, A. / Wagner, M. / Bowhill, A. et al. | 2009
-
Inspection of complex OPC patterns for 4x node and beyond [7488-103]Han, S.H. / Cho, W. / Kim, W.-S. / Chung, D.H. / Jeon, C.-U. / Cho, H. / BACUS (Technical group) / SPIE (Society) et al. | 2009
-
Revisit to aberration: a simulation study of lens aberration induced overlay misalignment and its experimental validation [7488-125]Kim, H. / Lee, S.-W. / Lee, B. / Lee, S. / Cho, K. / Choi, S.-W. / Park, C.-H. / BACUS (Technical group) / SPIE (Society) et al. | 2009
-
pRSM: models for model-based litho-hotspot repairs [7488-137]Chew, M. / Endo, T. / Yang, Y. / BACUS (Technical group) / SPIE (Society) et al. | 2009
-
Enhanced laser-writing techniques for bimetallic grayscale photomasks [7488-22]Dykes, J.M. / Chapman, G.H. / BACUS (Technical group) / SPIE (Society) et al. | 2009
-
Electron beam mask writer EBM-7000 for hp 32nm generation [7488-48]Kamikubo, T. / Ohtoshi, K. / Nakayamada, N. / Nishimura, R. / Sunaoshi, H. / Akeno, K. / Mitsui, S. / Tachikawa, Y. / Inoue, H. / Oogi, S. et al. | 2009
-
Photomask metrology using a 193nm scatterfield microscope [7488-55]Quintanilha, R. / Barnes, B.M. / Sohn, Y. / Howard, L.P. / Silver, R.M. / Potzick, J.E. / Stocker, M.T. / BACUS (Technical group) / SPIE (Society) et al. | 2009
-
A universal mask management relational database [7488-74]Morey-Chaisemartin, P. / BACUS (Technical group) / SPIE (Society) et al. | 2009
-
Favorable hierarchy detection through Lempel-Ziv coding based algorithm to aid hierarchical fracturing in mask data preparation [7488-77]Bhardwaj, D.S.S. / Ghosh, N. / Rao, N. / Pai, R.R. / BACUS (Technical group) / SPIE (Society) et al. | 2009
-
Novel EUV mask inspection tool with 199-nm laser source and high-resolution optics [7488-98]Kikuiri, N. / Hirono, M. / Hirano, R. / Amano, T. / Suga, O. / Shigemura, H. / Hashimoto, H. / Takahara, K. / Usuda, K. / BACUS (Technical group) et al. | 2009
-
Introducing process variability score for process window OPC optimization [7488-117]Fakhry, M. / Maaty, H. / Seoud, A. / BACUS (Technical group) / SPIE (Society) et al. | 2009
-
Calibration of e-beam and etch models using SEM images [7488-133]Chuyeshov, C. / Carrero, J. / Sezginer, A. / Kamat, V. / BACUS (Technical group) / SPIE (Society) et al. | 2009
-
Model-based assist features [7488-39]Yenikaya, B. / Alexandrov, O. / Kwon, Y. / Liu, A. / Mokhberi, A. / Sezginer, A. / BACUS (Technical group) / SPIE (Society) et al. | 2009
-
A 193nm optical CD metrology tool for the 32nm node [7488-53]Li, Z. / Pilarski, F. / Bergmann, D. / Bodermann, B. / BACUS (Technical group) / SPIE (Society) et al. | 2009
-
SEM CD metrology on nanoimprint template: an analytical SEM approach [7488-68]Hwu, J.J. / Babin, S. / Page, L. / Danilevsky, A. / Self, A. / Ueda, K. / Koshihara, S. / Wago, K. / Lee, K. / Kuo, D. et al. | 2009
-
Efficient analysis of three dimensional EUV mask induced imaging artifacts using the waveguide decomposition method [7488-84]Shao, F. / Evanschitzky, P. / Fuhner, T. / Erdmann, A. / BACUS (Technical group) / SPIE (Society) et al. | 2009
-
AIMS mask qualification for 32nm node [7488-102]Richter, R. / Thaler, T. / Seitz, H. / Stroessner, U. / Scheruebl, T. / BACUS (Technical group) / SPIE (Society) et al. | 2009
-
3D Si aperture-plates combined with programmable blanking-plates for multi-beam mask writing [7488-123]Letzkus, F. / Irmscher, M. / Jurisch, M. / Platzgummer, E. / Klein, C. / Loeschner, H. / BACUS (Technical group) / SPIE (Society) et al. | 2009