Sputtering of (001)AlN thin films: Control of polarity by a seed layer (English)
- New search for: Milyutin, E.
- New search for: Harada, S.
- New search for: Martin, D.
- New search for: Carlin, J. F.
- New search for: Grandjean, N.
- New search for: Savu, V.
- New search for: Vaszquez-Mena, O.
- New search for: Brugger, J.
- New search for: Muralt, P.
- New search for: Milyutin, E.
- New search for: Harada, S.
- New search for: Martin, D.
- New search for: Carlin, J. F.
- New search for: Grandjean, N.
- New search for: Savu, V.
- New search for: Vaszquez-Mena, O.
- New search for: Brugger, J.
- New search for: Muralt, P.
In:
Journal of Vacuum Science & Technology B, Nanotechnology and Microelectronics: Materials, Processing, Measurement, and Phenomena
;
28
, 6
;
L61-L63
;
2010
- Article (Journal) / Electronic Resource
-
Title:Sputtering of (001)AlN thin films: Control of polarity by a seed layer
-
Additional title:Sputtering of (001)AlN thin films
-
Contributors:Milyutin, E. ( author ) / Harada, S. ( author ) / Martin, D. ( author ) / Carlin, J. F. ( author ) / Grandjean, N. ( author ) / Savu, V. ( author ) / Vaszquez-Mena, O. ( author ) / Brugger, J. ( author ) / Muralt, P. ( author )
-
Published in:
-
Publisher:
- New search for: American Vacuum Society
-
Publication date:2010-11-01
-
Size:3 pages
-
ISSN:
-
DOI:
-
Type of media:Article (Journal)
-
Type of material:Electronic Resource
-
Language:English
-
Keywords:
-
Source:
Table of contents – Volume 28, Issue 6
The tables of contents are generated automatically and are based on the data records of the individual contributions available in the index of the TIB portal. The display of the Tables of Contents may therefore be incomplete.
- 1081
-
Different growth mechanisms of vertical carbon nanotubes by rf- or dc-plasma enhanced chemical vapor deposition at low temperatureWang, Huiyao / Moore, John J. et al. | 2010
- 1086
-
Influence of the tip work function on scanning tunneling microscopy and spectroscopy on zinc doped GaAsWijnheijmer, A. P. / Garleff, J. K. / v. d. Heijden, M. A. / Koenraad, P. M. et al. | 2010
- 1093
-
Field-emission of thin film deposited by an in situ chloride-generated routeHu, Yemin / Li, Ying / Zhu, Mingyuan / Hu, Zheng / Yu, Leshu et al. | 2010
- 1097
-
Characterization of electrodeposited Ni–Fe–SiC alloys for microelectromechanical applicationsZheng, Xiaohu / Chen, Xing / Gu, Feng / Liu, YuanWei / Lee, Dong-Weon et al. | 2010
- 1100
-
UV ozone passivation of the metal/dielectric interface for -based organic thin film transistorsTang, W. M. / Ng, W. T. / Helander, M. G. / Greiner, M. T. / Lu, Z. H. et al. | 2010
- 1104
-
Surface and near-surface modifications of ultralow dielectric constant materials exposed to plasmas under sidewall-like conditionsKuo, Ming-Shu / Oehrlein, G. S. et al. | 2010
- 1111
-
Growth of size and density controlled GaAs/lnxGa1-xAs/GaAs (x=0.10) nanowires on anodic alumina membrane-assisted etching of nanopatterned GaAsGunawan, Aloysius A et al. | 2010
- 1111
-
Growth of size and density controlled nanowires on anodic alumina membrane-assisted etching of nanopatterned GaAsGunawan, Aloysius A. / Jha, S. / Kuech, T. F. et al. | 2010
- 1120
-
Complex dielectric function and refractive index spectra of epitaxial CdO thin film grown on -plane sapphire from 0.74 to 6.45 eVChoi, S. G. / Zúñiga-Pérez, J. / Muñoz-Sanjosé, V. / Norman, A. G. / Perkins, C. L. / Levi, D. H. et al. | 2010
- 1125
-
Formation of three-dimensional and nanowall structures on silicon using a hydrogen-assisted high aspect ratio etchingAzimi, S. / Mehran, M. / Amini, A. / Vali, A. / Mohajerzadeh, S. / Fathipour, M. et al. | 2010
- 1132
-
Statistical-noise effect on discrete power spectrum of line-edge and line-width roughnessHiraiwa, Atsushi / Nishida, Akio / 平岩篤 / 西田彰男 et al. | 2010
- 1138
-
Defect-free etching process for GaAs/AIGaAs hetero-nanostructure using chlorine/argon mixed neutral beamWang, Xuan-Yu et al. | 2010
- 1138
-
Defect-free etching process for GaAs/AlGaAs hetero-nanostructure using chlorine/argon mixed neutral beamWang, Xuan-Yu / Huang, Chi-Hsien / Ohno, Yuzo / Igarashi, Mokoto / Murayama, Akihiro / Samukawa, Seiji et al. | 2010
- 1143
-
Effect of Al doping on resistive switching behavior of films for nonvolatile memory applicationKim, Jonggi / Na, Heedo / Oh, Jinho / Ko, Dae-Hong / Sohn, Hyunchul et al. | 2010
- 1148
-
Mechanical and electronic characteristics of scanning probe microscopy probes based on coaxial palladium nanowire/carbon nanotube hybrid structuresClark, Ian Thomas / Rius, Gemma / Matsuoka, Yuki / Yoshimura, Masamichi et al. | 2010
- 1153
-
laser treatment for stabilization of the superhydrophobicity of carbon nanotube surfacesRamos, S. C. / Vasconcelos, G. / Antunes, E. F. / Lobo, A. O. / Trava-Airoldi, V. J. / Corat, E. J. et al. | 2010
- 1158
-
Dose loss of phosphorus due to interface segregation in silicon-on-insulator substratesChang, Ruey-Dar / Ma, Chia-Chi / Tsai, Jung-Ruey et al. | 2010
- 1164
-
Influence of surface treatment and interface layers on electrical spin injection efficiency and transport in InAsZhu, L. / Yu, E. T. et al. | 2010
- 1169
-
Electron detection performance of diamond avalanche diodeMorishita, Hideo / Ohshima, Takashi / Hatano, Michio / Iwakaji, Yoko / Maida, Osamu / Ito, Toshimichi et al. | 2010
- 1173
-
Thin film transistors with a ZnO channel and gate dielectric layers of by atomic layer depositionGrundbacher, Ronald / Chikkadi, Kiran / Hierold, Christofer et al. | 2010
- 1179
-
Silicon nitride hardmask fabrication using a cyclic -based reactive ion etching process for vertical profile nanostructuresKaspar, Peter / Jeyaram, Yogesh / Jäckel, Heinz / Foelske, Annette / Kötz, Rüdiger / Bellini, Sandro et al. | 2010
- 1187
-
Hydrogen etching and cutting of multiwall carbon nanotubesBehr, Michael J. / Gaulding, E. Ashley / Mkhoyan, K. Andre / Aydil, Eray S. et al. | 2010
- 1195
-
Controlled sacrificial sidewall surface micromachining for the release of high length-to-thickness aspect ratio bridgesRaum, Christopher R. / Tait, R. Niall / Gauthier, Robert et al. | 2010
- 1202
-
Field emission stability and properties of simultaneously grown microcrystalline diamond and carbon nanostructure filmsUppireddi, Kishore / Weiner, Brad R. / Morell, Gerardo et al. | 2010
- 1206
-
Electron beam induced etching of silicon withVanhove, N. / Lievens, P. / Vandervorst, W. et al. | 2010
- 1210
-
Simultaneous observation of surface topography and elasticity at atomic scale by multifrequency frequency modulation atomic force microscopyNaitoh, Yoshitaka et al. | 2010
- 1210
-
Simultaneous observation of surface topography and elasticity at atomic scale by multifrequency frequency modulation atomic force microscopya)Naitoh, Yoshitaka / Ma, Zongmin / Li, Yan Jun / Kageshima, Masami / Sugawara, Yasuhiro et al. | 2010
- 1215
-
Nonlinearities in depth profiling nanometer layersSeah, M. P. / Mulcahy, C. P. A. / Biswas, S. et al. | 2010
- 1222
-
Challenges in the fabrication of an optical frequency ground plane cloak consisting of silicon nanorod arraysBlair, J. / Brown, D. / Tamma, V. A. / Park, W. / Summers, C. et al. | 2010
- 1231
-
Ni full-filling into film with etched tunnels using a polyethylene glycol solution bath in electroless-platingJang, Joo-Hee / Lee, Chang-Hyoung / Choi, Woo-Sung / Kim, Nam-Jeong / Kim, Taek-You / Kim, Tae-Yoo / Kim, Jang-Hyun / Park, Chan / Suh, Su-Jeong et al. | 2010
- 1235
-
Antimonide-based depletion-mode metal-oxide-semiconductor field-effect transistors using small-bandgap InAs channel layersLin, H.-K. / Liau, G.-Y. / Liu, H.-K. et al. | 2010
- 1239
-
Impact of exposure doses on demolding process in UV nanoimprint lithographyTanabe, Toshiaki / Fujii, Noriyoshi / Matsue, Masato / Kawata, Hiroaki / Hirai, Yoshihiko et al. | 2010
- 1242
-
Statistical-noise effect on autocorrelation function of line-edge and line-width roughnessHiraiwa, Atsushi / Nishida, Akio / 平岩篤 / 西田彰男 et al. | 2010
- 1251
-
Thin polymer films viscosity measurements from nanopatterning methodLeveder, Tanguy / Landis, Stefan / Chaix, Nicolas / Davoust, Laurent et al. | 2010
- 1259
-
Effect of resist on the transfer of line-edge roughness spatial metrics from mask to waferNaulleau, Patrick P. / Gallatin, Gregg M. et al. | 2010
- 1267
-
Performance and reliability analysis of -type metal-oxide-semiconductor field effect transistors with various combinations of Ru and Al gate metalPark, Hong Bae / Park, Chang Seo / Kang, Chang Yong / Song, Seung-Chul / Lee, Byoung Hun / Kim, Tea Wan / Jang, Tae-Young / Kim, Dong-Hyoub / Jeong, Jae Kyeong / Choi, Rino et al. | 2010
- 1271
-
Structural and optical properties of self-assembled InAs quantum dot molecules on GaAs substratesTian, Peng / Huang, Lirong / Yu, Yi / Huang, Dexiu et al. | 2010
- 1274
-
Fabrication and field emission of carbon composite nanostructuresChen, Jian-Biao / Wang, Cheng-Wei / Guo, Rui-Sheng / Wang, Lin-Qing / Zhu, Wei-Dong / Zhou, Feng / Liu, Wei-Min et al. | 2010
- 1279
-
Atomic resolution force microscopy imaging on a strongly ionic surface with differently functionalized tipsArai, T. / Gritschneder, S. / Tröger, L. / Reichling, M. et al. | 2010
- 1284
-
Electron field emission from well-aligned GaP nanotipsLo, Hung-Chun / Tsai, Jeff T. H. / Leu, Jih-Perng / Chen, Chia-Fu et al. | 2010
- 1287
-
Sputtering behavior and evolution of depth resolution upon low energy ion irradiation of GaAsHopstaken, M. J. P. / Gordon, M. S. / Pfeiffer, D. / Sadana, D. K. / Topuria, T. / Rice, P. M. / Gerl, C. / Richter, M. / Marchiori, C. et al. | 2010
- 1298
-
Relaxation of misfit strain in silicon-germanium () films during dry oxidationYoo, Jung-Ho / Kim, Sun-Wook / Min, Byoung-Gi / Sohn, Hyunchul / Ko, Dae-Hong / Cho, Mann-Ho et al. | 2010
- 1304
-
Quantifying reaction spread and x-ray exposure sensitivity in hydrogen silsesquioxane latent resist patterns with x-ray spectromicroscopyCaster, Allison G. / Kowarik, Stefan / Schwartzberg, Adam M. / Leone, Stephen R. / Tivanski, Alexei / Gilles, Mary K. et al. | 2010
- 1314
-
Reduction of spin-flip scattering in metallic nonlocal spin valvesZou, H. / Wang, X. J. / Ji, Y. et al. | 2010
- 1318
-
Single-walled carbon nanotube alignment by grating-guided electrostatic self-assemblyLi, Huifeng et al. | 2010
- 1318
-
Single-walled carbon nanotube alignment by grating-guided electrostatic self-assemblya)Li, Huifeng / Sun, Dazhi / Sue, Hung-Jue / Cheng, Xing et al. | 2010
- 1322
-
Moisture effect on electromigration characteristics for copper dual damascene interconnectionCheng, Yi-Lung / Chang, Wei-Yuan / Wang, Ying-Lang et al. | 2010
- 1322
-
Brief Reports and Comments Moisture effect on electromigration characteristics for copper dual damascene interconnectionCheng, Yi-Lung et al. | 2010
- 1326
-
Simple derivation of the formula for Sommerfeld supply density used in electron-emission physics and limitations on its useForbes, Richard G. et al. | 2010
- 1326
-
Shop Notes Simple derivation of the formula for Sommerfeld supply density used in electron-emission physics and limitations on its useForbes, Richard G et al. | 2010
- 1341
-
AUTHOR INDEX| 2010
- 1349
-
INDEX Summary of Physics and Astronomy Classification Scheme — 2008| 2010
- 1350
-
PACS Heading Used in Present Index| 2010
- 1356
-
Subject Index to Volume 28| 2010
- 1406
-
Author Index to Volume 28| 2010
- 1438
-
Materials Index to Volume 29| 2010
- C6a1
-
PrefaceFeldman, Martin et al. | 2010
- C6A1
-
To charge or not to charge: 50 years of lithographic choicesPease, R. Fabian et al. | 2010
- C6B1
-
Argon ion multibeam nanopatterning of Ni–Cu inserts for injection moldingKoeck, Anton / Bruck, Roman / Wellenzohn, Markus / Hainberger, Rainer / Platzgummer, Elmar / Loeschner, Hans / Joechl, Peter / Eder-Kapl, Stefan / Ebm, Christoph / Czepl, Peter et al. | 2010
- C6B7
-
Directed assembly of solution processed single-walled carbon nanotubes via dielectrophoresis: From aligned array to individual nanotube devicesStokes, Paul / Khondaker, Saiful I. et al. | 2010
- C6B13
-
Mechanism and dynamics of block copolymer directed assembly with density multiplication on chemically patterned surfacesLiu, Guoliang / Delcambre, Sean P. / Stuen, Karl O. / Craig, Gordon S. W. / de Pablo, Juan J. / Nealey, Paul F. / Nygård, Kim / Satapathy, Dillip K. / Bunk, Oliver / Solak, Harun H. et al. | 2010
- C6B20
-
Nanostructure fabrication by self-assembly of block copolymers on three-dimensional diamondlike carbon structuresMino, Hiroyuki / Kometani, Reo / Warisawa, Shin-ichi / Ishihara, Sunao et al. | 2010
- C6B24
-
Shape control and density multiplication of cylinder-forming ternary block copolymer-homopolymer blend thin films on chemical patternsKang, Huiman / Detcheverry, Francois / Stuen, Karl O. / Craig, Gordon S. W. / de Pablo, Juan J. / Gopalan, Padma / Nealey, Paul F. et al. | 2010
- C6B30
-
Integration of block copolymer directed assembly with 193 immersion lithographyLiu, Chi-Chun / Nealey, Paul F. / Raub, Alex K. / Hakeem, Philip J. / Brueck, Steve R. J. / Han, Eungnak / Gopalan, Padma et al. | 2010
- C6C1
-
High-current electron optical design for reflective electron beam lithography direct write lithographyMcCord, Mark / Kojima, Shinichi / Petric, Paul / Brodie, Alan / Sun, Jeff et al. | 2010
- C6C6
-
Reflective electron beam lithography: A maskless ebeam direct write lithography approach using the reflective electron beam lithography conceptPetric, Paul / Bevis, Chris / McCord, Mark / Carroll, Allen / Brodie, Alan / Ummethala, Upendra / Grella, Luca / Cheung, Anthony / Freed, Regina et al. | 2010
- C6C14
-
5 kV multielectron beam lithography: MAPPER tool and resist process characterizationRio, D. / Constancias, C. / Martin, M. / Icard, B. / van Nieuwstadt, J. / Vijverberg, J. / Pain, L. et al. | 2010
- C6C21
-
Excitation and imaging of resonant optical modes of Au triangular nanoantennas using cathodoluminescence spectroscopyKumar, Anil / Fung, Kin-Hung / Mabon, James C. / Chow, Edmond / Fang, Nicholas X. et al. | 2010
- C6C26
-
Field induced shape and work function modification for the ZrO/W(100) Schottky cathodeLiu, K. / Schwind, G. A. / Swanson, L. W. / Campbell, J. A. et al. | 2010
- C6C34
-
Performance characterization of negative resists for sub-10-nm electron beam lithographyBonam, R. / Verhagen, P. / Munder, A. / Hartley, J. et al. | 2010
- C6C41
-
Simulation of scanning electron microscope images taking into account local and global electromagnetic fieldsBabin, Sergey / Borisov, Sergey S. / Ito, Hiroyuki / Ivanchikov, Andrei / Suzuki, Makoto et al. | 2010
- C6C48
-
Simulation of electron beam lithography of nanostructuresStepanova, M. / Fito, T. / Szabó, Zs. / Alti, K. / Adeyenuwo, A. P. / Koshelev, K. / Aktary, M. / Dew, S. K. et al. | 2010
- C6C58
-
Sub-10-nm half-pitch electron-beam lithography by using poly(methyl methacrylate) as a negative resistDuan, Huigao / Winston, Donald / Yang, Joel K. W. / Cord, Bryan M. / Manfrinato, Vitor R. / Berggren, Karl K. et al. | 2010
- C6C63
-
Analysis of surface electromagnetic wave resonant structures for potential application in an array of compact photoelectron sourcesChoi, Heon J. / Groves, Timothy R. et al. | 2010
- C6C69
-
Inspection of open defects in a thin film transistor-liquid crystal display panel by using a low-energy electron microcolumnOh, Tae Sik / Kim, Dae-Wook / Kim, Young Chul / Ahn, Seungjoon / Lee, Gun-hee / Kim, Ho Seob et al. | 2010
- C6C74
-
Brightness limitations of cold field emitters caused by Coulomb interactionsCook, B. / Verduin, T. / Hagen, C. W. / Kruit, P. et al. | 2010
- C6D1
-
Direct transformation of a resist pattern into a graphene field effect transistor through interfacial graphitization of liquid galliumFujita, Jun-ichi / Miyazawa, Yosuke / Ueki, Ryuichi / Sasaki, Mio / Saito, Takeshi et al. | 2010
- C6D5
-
Fabrication of metal patterns on freestanding graphenoid nanomembranesBeyer, André / Turchanin, Andrey / Nottbohm, Christoph T. / Mellech, Nils / Schnietz, Mark / Gölzhäuser, Armin et al. | 2010
- C6D11
-
Study of transport properties in graphene monolayer flakes on substratesTirado, J. M. / Nezich, D. / Zhao, X. / Chung, J. W. / Kong, J. / Palacios, T. et al. | 2010
- C6E1
-
Wavelength-specific reflections: A decade of extreme ultraviolet actinic mask inspection researchGoldberg, K. A. / Mochi, I. et al. | 2010
- C6E11
-
Actinic imaging and evaluation of phase structures on extreme ultraviolet lithography masksMochi, Iacopo / Goldberg, Kenneth A. / Huh, Sungmin et al. | 2010
- C6E17
-
Assessing the mask clamping ability of a low thermal expansion material chuckZeuske, J. R. / Vukkadala, P. / Engelstad, R. L. / Mikkelson, A. R. / Kalkowski, G. / Risse, S. / Mueller, S. et al. | 2010
- C6E23
-
Extreme ultraviolet mask substrate surface roughness effects on lithographic patterningGeorge, Simi A. / Naulleau, Patrick P. / Mochi, Iacopo / Salmassi, Farhad / Gullikson, Eric M. / Goldberg, Kenneth A. / Anderson, Erik H. et al. | 2010
- C6E31
-
Extreme ultraviolet mask surface cleaning effects on lithography process performanceGeorge, Simi A. / Baclea-an, Lorie Mae / Naulleau, Patrick P. / Chen, Robert J. / Liang, Ted et al. | 2010
- C6E36
-
High transmission pellicles for extreme ultraviolet lithography reticle protectionShroff, Yashesh A. / Leeson, Michael / Yan, Pei-Yang / Gullikson, Eric / Salmassi, Farhad et al. | 2010
- C6F1
-
Focused chromium ion beamSteele, A. V. / Knuffman, B. / McClelland, J. J. / Orloff, J. et al. | 2010
- C6F6
-
Analysis of subsurface beam spread and its impact on the image resolution of the helium ion microscopeSijbrandij, Sybren / Notte, John / Sanford, Colin / Hill, Ray et al. | 2010
- C6F10
-
Design of a parallel mass spectrometer for focused ion beam columnsKhursheed, A. / Cheong, K. H. / Hoang, H. Q. et al. | 2010
- C6F15
-
Gas field ion source and liquid metal ion source charged particle material interaction study for semiconductor nanomachining applicationsTan, Shida / Livengood, Richard / Shima, Darryl / Notte, John / McVey, Shawn et al. | 2010
- C6F22
-
Model for nanopillar growth by focused helium ion-beam-induced depositionAlkemade, Paul F. A. / Chen, Ping / van Veldhoven, Emile / Maas, Diederik et al. | 2010
- C6F26
-
beam lithography for suspended lateral beams and nanowiresHenry, M. David / Shearn, Michael / Scherer, Axel et al. | 2010
- C6F31
-
Transmission electron microscopy study of damage layer formed through ion beam induced deposition of platinum on silicon substratePark, Byong Chon / Park, Yun Chang / Lee, Hwack Joo / Kim, Young Heon et al. | 2010
- C6F38
-
Piezoresistive effect in the three-dimensional diamondlike carbon nanostructure fabricated by focused-ion-beam chemical vapor depositionKometani, Reo / Yusa, Kouki / Warisawa, Shin’ichi / Ishihara, Sunao et al. | 2010
- C6G1
-
Blanking characteristics of a miniature electron beam columnSilver, C. S. / Spallas, J. P. / Muray, L. P. et al. | 2010
- C6G5
-
Multibeam scanning electron microscope: Experimental resultsMohammadi-Gheidari, A. / Hagen, C. W. / Kruit, P. et al. | 2010
- C6H1
-
Application of analytic scanning electron microscopy to critical dimensions metrology at nanometer scaleBabin, Sergey / Bay, Konstantin / Hwu, Justin J. et al. | 2010
- C6H6
-
Linewidth metrology for sub-10-nm lithographyThoms, S. / Macintyre, D. S. et al. | 2010
- C6H11
-
Metrology for electron-beam lithography and resist contrast at the sub-10 nm scaleDuan, Huigao / Manfrinato, Vitor R. / Yang, Joel K. W. / Winston, Donald / Cord, Bryan M. / Berggren, Karl K. et al. | 2010
- C6H18
-
Robust estimation of line width roughness parametersPatel, Kedar / Lahiri, Soumendra N. / Spanos, Costas J. et al. | 2010
- C6H34
-
Understanding the relationship between true and measured resist feature critical dimension and line edge roughness using a detailed scanning electron microscopy simulatorLawson, Richard A. / Henderson, Clifford L. et al. | 2010
- C6I1
-
Three-dimensional microfluidic mixers using ion beam lithography and micromachiningPalacios, E. / Ocola, L. E. / Joshi-Imre, A. / Bauerdick, S. / Berse, M. / Peto, L. et al. | 2010
- C6I7
-
Fabricating millimeter to nanometer sized cavities concurrently for nanofluidic devicesDevlin, Nicole R. / Brown, Devin K. et al. | 2010
- C6I11
-
Nanofluidic channels fabricated by e-beam lithography and polymer reflow sealingFouad, Mina / Yavuz, Mustafa / Cui, Bo et al. | 2010
- C6I14
-
Rotation speed control of Janus particles by dielectrophoresis in a microfluidic channelHonegger, T. / Lecarme, O. / Berton, K. / Peyrade, D. et al. | 2010
- C6J1
-
Mask-topography-induced phase effects and wave aberrations in optical and extreme ultraviolet lithographyErdmann, A. / Shao, F. / Evanschitzky, P. / Fühner, T. et al. | 2010
- C6J8
-
High accuracy electron beam model development in MICHELLE: eBEAMOvtchinnikov, Serguei G. / Cooke, Simon J. / Shtokhamer, Roman / Vlasov, Alexander N. / Mkrtchyan, Masis M. / Kostas, Christopher / Petillo, John J. / Levush, Baruch et al. | 2010
- C6J13
-
Monte Carlo modeling of electron backscattering from carbon nanotube forestsAlam, M. K. / Yaghoobi, P. / Nojeh, A. et al. | 2010
- C6J19
-
Reducing the pattern redundancy in optical proximity correction modeling by analyzing the pattern linearityLi, Jianliang / Zhang, Lin / Yan, Qiliang / Melvin, Lawrence S. / Lin, Chadwick / Su, Eason / Tang, Nail et al. | 2010
- C6K1
-
Fabrication of three-dimensional structures for the assessment of cell mechanical interactions within cell monolayersFuard, David / Moussus, Michel / Tomba, Caterina / Peyrade, David / Nicolas, Alice et al. | 2010
- C6K8
-
Texturing of silicon using a microporous polymer etch maskKorivi, N. S. / Hoffpauir, J. / Ajmera, P. K. et al. | 2010
- C6K13
-
Gelatin/glycerol coating to preserve mechanically compliant nanowire electrodes from damage during brain implantationWitteveen, Jolanda A. / Suyatin, Dmitry B. / Gällentoft, Lina / Schouenborg, Jens / Danielsen, Nils / Prinz, Christelle N. et al. | 2010
- C6K17
-
Microfluidics-assisted photo nanoimprint lithography for the formation of cellular bioimprintsNock, V. / Murray, L. / Samsuri, F. / Alkaisi, M. M. / Evans, J. J. et al. | 2010
- C6L1
-
Low damage fully self-aligned replacement gate process for fabricating deep sub-100 nm gate length GaAs metal-oxide-semiconductor field-effect transistorsLi, X. / Bentley, S. / McLelland, H. / Holland, M. C. / Zhou, H. / Thoms, S. / Macintyre, D. S. / Thayne, I. G. et al. | 2010
- C6L6
-
Platinum single-electron transistors with tunnel barriers made by atomic layer depositionGeorge, Hubert C. / Orlov, Alexei O. / Snider, Gregory L. et al. | 2010
- C6L9
-
Si single electron transistor fabricated by chemical mechanical polishingLee, Yen-Chun / Joshi, Vishwanath / Orlov, Alexei O. / Snider, Gregory L. et al. | 2010
- C6M1
-
Aspects of hybrid pattern definition while combining thermal nanoimprint with optical lithographyScheer, H.-C. / Möllenbeck, S. / Mayer, A. / Dhima, K. et al. | 2010
- C6M7
-
Defect analysis for patterned mediaYe, Zhengmao / Fretwell, John / Luo, Kang / Ha, Steven / Schmid, Gerard / LaBrake, Dwayne / Resnick, Douglas J. / Sreenivasan, S. V. et al. | 2010
- C6M12
-
45 nm hp line/space patterning into a thin spin coat film by UV nanoimprint based on condensationHiroshima, Hiroshi / Wang, Qing / Youn, Sung-Won et al. | 2010
- C6M17
-
Evaluation of oxygen inhibition for UV-curable resins by adhesion force measurement using scanning probe microscopeOkada, Makoto / Iwasa, Masayuki / Miyake, Hiroto / Ohsaki, Takeshi / Haruyama, Yuichi / Kanda, Kazuhiro / Matsui, Shinji et al. | 2010
- C6M23
-
Assessment of release properties in UV nanoimprint lithography using high-aspect-ratio nanoscale moldsTakahashi, Junki / Taniguchi, Jun / Kamiya, Yasuhiro et al. | 2010
- C6M28
-
Characterizations of nanoembossed ferroelectric filmsShen, Zhenkui / Chen, Zhihui / Lu, Qian / Jiang, Anquan / Qiu, Zhijun / Qu, Xinping / Chen, Yifang / Liu, Ran et al. | 2010
- C6M32
-
Electrical properties of transferred metal nanopattern using metal oxide release layerUnno, Noriyuki / Taniguchi, Jun / Ide, Shouichi et al. | 2010
- C6M37
-
Fabrication of mesas with micro- and nanopatterned surface relief used as working stamps for step and stamp imprint lithographySchleunitz, Arne / Spreu, Christian / Haatainen, Tomi / Klukowska, Anna / Schift, Helmut et al. | 2010
- C6M41
-
Fabrication of ordered nanospheres using a combination of nanoimprint lithography and controlled dewettingSchleunitz, Arne / Spreu, Christian / Lee, JaeJong / Schift, Helmut et al. | 2010
- C6M45
-
Fabrication of seamless three-dimensional roll mold using direct electron-beam writing on rotating cylindrical substrateTaniguchi, Jun / Tsuji, Shintaro / Aratani, Masao et al. | 2010
- C6M50
-
Facile wide-scale defect detection of UV-nanoimprinted resist patterns by fluorescent microscopyKobayashi, Kei / Kubo, Shoichi / Matsui, Shinji / Nakagawa, Masaru et al. | 2010
- C6M57
-
High accuracy UV-nanoimprint lithography step-and-repeat master stamp fabrication for wafer level camera applicationKreindl, G. / Glinsner, T. / Miller, R. / Treiblmayr, D. / Födisch, R. et al. | 2010
- C6M63
-
Hole mobility enhancement by chain alignment in nanoimprinted poly(3-hexylthiophene) nanogratings for organic electronicsZhou, Min / Aryal, Mukti / Mielczarek, Kamil / Zakhidov, Anvar / Hu, Walter et al. | 2010
- C6M68
-
Impact of molecular size on resist filling process in nanoimprint lithography: Molecular dynamics studyTaga, Akihiro / Yasuda, Masaaki / Kawata, Hiroaki / Hirai, Yoshihiko et al. | 2010
- C6M72
-
Impact of the resist properties on the antisticking layer degradation in UV nanoimprint lithographyFrancone, A. / Iojoiu, C. / Poulain, C. / Lombard, C. / Pépin-Donat, B. / Boussey, J. / Zelsmann, M. et al. | 2010
- C6M77
-
Impact of substrate deformation on demolding force for thermal imprint processKawata, H. / Watanabe, Y. / Fujikawa, N. / Yasuda, M. / Hirai, Y. et al. | 2010
- C6M83
-
Imprinted quarter wave plate at terahertz frequencySaha, Shimul C. / Ma, Yong / Grant, James P. / Khalid, A. / Cumming, David R. S. et al. | 2010
- C6M88
-
Long-range ordered aluminum oxide nanotubes by nanoimprint-assisted aluminum film surface engineeringNoh, Kunbae / Choi, Chulmin / Kim, Jin-Yeol / Oh, Young / Brammer, Karla S. / Loya, Mariana C. / Jin, Sungho et al. | 2010
- C6M93
-
Nanofabrication of surface-enhanced Raman scattering device by an integrated block-copolymer and nanoimprint lithography methodYang, E. L. / Liu, C. C. / Yang, C. Y. P. / Steinhaus, C. A. / Nealey, P. F. / Skinner, J. L. et al. | 2010
- C6M98
-
Nanoimprinting for diffractive light trapping in solar cellsWeiss, Dirk N. / Yuan, Hao-Chih / Lee, Benjamin G. / Branz, Howard M. / Meyers, Stephen T. / Grenville, Andrew / Keszler, Douglas A. et al. | 2010
- C6M104
-
Nanoimprinted solar cells optimized by oblique deposition ofYang, Yi / Aryal, Mukti / Mielczarek, Kamil / Hu, Walter / Zakhidov, Anvar et al. | 2010
- C6M108
-
Process-simulation system for UV-nanoimprint lithographyShibata, Mayuko / Horiba, Akira / Nagaoka, Yoshinori / Kawata, Hiroaki / Yasuda, Masaaki / Hirai, Yoshihiko et al. | 2010
- C6M114
-
Rapid patterning of spin-on-glass using ultrasonic nanoimprintMekaru, Harutaka / Takahashi, Masaharu et al. | 2010
- C6M122
-
Rapid thermal imprinting of high-aspect-ratio nanostructures with dynamic heating of mold surfaceNagato, Keisuke / Hattori, Shuntaro / Hamaguchi, Tetsuya / Nakao, Masayuki et al. | 2010
- C6M125
-
Residual layer uniformity using complementary patterns to compensate for pattern density variation in UV nanoimprint lithographyWang, Qing / Hiroshima, Hiroshi / Atobe, Hidemasa / Youn, Sung-Won et al. | 2010
- C6M130
-
Spectroscopic ellipsometry optical critical dimension measurements of templates and imprinted resist for patterned magnetic media applicationsYu, Zhaoning / Hwu, Justin / Liu, Yongdong / Su, Zhenpeng / Yang, Henry / Wang, Hongying / Hu, Wei / Xu, Yuan / Kurataka, Nobuo / Hsu, Yautzong et al. | 2010
- C6M136
-
Sputtering with an etch-free lift-off in thermal nanoimprint lithographyMayer, Andre / Bogdanski, Nicolas / Möllenbeck, Saskia / Dhima, Khalid / Papenheim, Marc / Scheer, Hella-Christin et al. | 2010
- C6N1
-
Microelectromechanical systems for biomimetical applicationsLatif, Rhonira / Mastropaolo, Enrico / Bunting, Andy / Cheung, Rebecca / Koickal, Thomas / Hamilton, Alister / Newton, Michael / Smith, Leslie et al. | 2010
- C6N7
-
Microfabricated resistive high-sensitivity nanoprobe for scanning thermal microscopyWielgoszewski, G. / Sulecki, P. / Gotszalk, T. / Janus, P. / Szmigiel, D. / Grabiec, P. / Zschech, E. et al. | 2010
- C6N12
-
Micromachined scanning proximal probes with integrated piezoresistive readout and bimetal actuator for high eigenmode operationWoszczyna, Mirosław / Zawierucha, Paweł / Pałetko, Piotr / Zielony, Michał / Gotszalk, Teodor / Sarov, Yanko / Ivanov, Tzvetan / Frank, Andreas / Zöllner, Jens-Peter / Rangelow, Ivo W. et al. | 2010
- C6N18
-
Piezoelectrically driven silicon carbide resonatorsMastropaolo, Enrico / Gual, Isaac / Wood, Graham / Bunting, Andrew / Cheung, Rebecca et al. | 2010
- C6O1
-
On the fabrication of three-dimensional silicon-on-insulator based optical phased array for agile and large angle laser beam steering systemsHosseini, Amir / Kwong, David / Zhang, Yang / Chandorkar, Saurabh A. / Crnogorac, Filip / Carlson, Andrew / Fallah, Babak / Bank, Seth / Tutuc, Emanuel / Rogers, John et al. | 2010
- C6O8
-
Tunable optofluidic nano-Bragg microcavity filterJugessur, A. S. / Dou, J. / Aitchison, J. S. et al. | 2010
- C6O11
-
Colloidal optical waveguides with integrated local light sources built by capillary force assemblyLecarme, O. / Pinedo Rivera, T. / Arbez, L. / Honegger, T. / Berton, K. / Peyrade, D. et al. | 2010
- C6O16
-
Resonant coupling to a dipole absorber inside a metamaterial: Anticrossing of the negative index responseSmolev, Svyatoslav / Ku, Zahyun / Brueck, S. R. J / Brener, Igal / Sinclair, Michael B. / Ten Eyck, Gregory A. / Langston, W. L. / Basilio, Lorena I. et al. | 2010
- C6O21
-
Fabrication and characterization of coupled metal-dielectric-metal nanoantennasJoshi, Bhuwan / Wen, Xuejin / Sun, Kai / Lu, Wu / Wei, Qi-Huo et al. | 2010
- C6O26
-
Fabrication of nanostar arrays by nanoimprint lithographyVeres, Teodor / Cui, Bo / Clime, Liviu et al. | 2010
- C6O30
-
Fabrication techniques for three-dimensional metamaterials in the midinfraredWendt, J. R. / Burckel, D. B. / Ten Eyck, G. A. / Ellis, A. R. / Brener, I. / Sinclair, M. B. et al. | 2010
- C6O34
-
Self-aligned gold nanocone probe tipsZeeb, B. / Jäger, S. / Schäfer, C. / Nill, P. / Meixner, A. J. / Kern, D. P. / Fleischer, M. et al. | 2010
- C6O38
-
Large area three-dimensional photonic crystals with embedded waveguidesRaub, Alex K. / Brueck, S. R. J. et al. | 2010
- C6O45
-
Sub-10 nm patterning of gold nanostructures on silicon-nitride membranes for plasmon mapping with electron energy-loss spectroscopyKoh, Ai Leen / McComb, David W. / Maier, Stefan A. / Low, H. Y. / Yang, Joel K. W. et al. | 2010
- C6O50
-
Geometry enhanced asymmetric rectifying tunneling diodesChoi, Kwangsik / Ryu, Geunmin / Yesilkoy, Filiz / Chryssis, Athanasios / Goldsman, Neil / Dagenais, Mario / Peckerar, Martin et al. | 2010
- C6O56
-
Waveguide-plasmon resonances in gold-capped silicon-nitride disk photonic crystal slabsShyu, Jia-Hong / Lee, Huang-Ming / Chien, Jui-Hsing / Wu, Jong-Ching et al. | 2010
- C6O60
-
Multilayer pattern transfer for plasmonic color filter applicationsKaplan, Alex F. / Xu, Ting / Wu, Yi-Kuei / Guo, L. Jay et al. | 2010
- C6P1
-
Batch wafer scale fabrication of passivated carbon nanotube transistors for electrochemical sensing applicationsMartin-Fernandez, I. / Borrisé, X. / Lora-Tamayo, E. / Godignon, P. / Perez-Murano, F. et al. | 2010
- C6P6
-
Copper-plated 50 nm -gate fabricationOxland, Richard K. / Li, Xu / Ferguson, Susan / Bentley, Steven / Thayne, Iain G. et al. | 2010
- C6P11
-
Direct synthesis of vertical nanowires from sputtered Fe thin filmNagato, Keisuke / Furubayashi, Masaki / Hamaguchi, Tetsuya / Nakao, Masayuki et al. | 2010
- C6P14
-
Pyrolysis of two-dimensional and three-dimensional interferometrically patterned resist structuresBurckel, D. B. / Washburn, C. M. / Koleske, D. D. / Polsky, R. et al. | 2010
- C6P18
-
Fabrication and initial characterization of ultrahigh aspect ratio vias in gold using the helium ion microscopeScipioni, Larry / Ferranti, David C. / Smentkowski, Vincent S. / Potyrailo, Radislav A. et al. | 2010
- C6P24
-
Fabrication of poly(ethylene glycol) hydrogel structures for pharmaceutical applications using electron beam and optical lithographyBae, Misuk / Gemeinhart, Richard A. / Divan, Ralu / Suthar, Kamlesh J. / Mancini, Derrick C. et al. | 2010
- C6P30
-
Nanofabrication of x-ray zone plates using ultrananocrystalline diamond molds and electroformingWojcik, Michael J. / Joshi, Vishwanath / Sumant, Anirudha V. / Divan, Ralu / Ocola, Leonidas E. / Lu, Ming / Mancini, Derrick C. et al. | 2010
- C6P36
-
Nanomachining and clamping point optimization of silicon carbon nitride resonators using low voltage electron beam lithography and cold developmentMohammad, M. A. / Guthy, C. / Evoy, S. / Dew, S. K. / Stepanova, M. et al. | 2010
- C6P42
-
Nanoporous ultrananocrystalline diamond membranesMakarova, Olga / Divan, Ralu / Moldovan, Nicolaie / Rosenmann, Daniel / Tang, Cha-Mei et al. | 2010
- C6P48
-
Nanostructured silicon membranes for control of molecular transportSrijanto, Bernadeta R. / Retterer, Scott T. / Fowlkes, Jason D. / Doktycz, Mitchel J. et al. | 2010
- C6P53
-
Semiconductor crystal islands for three-dimensional integrationCrnogorac, F. / Wong, S. / Pease, R. F. W. et al. | 2010
- C6P59
-
Structure and properties of polymer core-shell systems: Helium ion microscopy and electrical conductivity studiesBliznyuk, Valery / Pud, Alexander / Scipioni, Larry / Huynh, Chuong / Ogurtsov, Nikolay / Ferranti, David et al. | 2010
- C6P66
-
Noise analysis of carbon nanotube field effect transistors irradiated by electron beamChan, Jack / Kidd, Deborah / Burke, Brian / Harriott, Lloyd / Williams, Keith et al. | 2010
- C6P70
-
Plasma etch fabrication of 60:1 aspect ratio silicon nanogratings with 200 nm pitchMukherjee, Pran / Bruccoleri, Alexander / Heilmann, Ralf K. / Schattenburg, Mark L. / Kaplan, Alex F. / Guo, L. Jay et al. | 2010
- C6Q1
-
Optical and computed evaluation of keyhole diffractive imaging for lensless x-ray microscopyDai, Bing / Zhu, Diling / Jaroensri, Ronnachai / Kulalert, Kanokwan / Pianetta, Piero / Pease, R. Fabian W. et al. | 2010
- C6Q6
-
Customized illumination for process window optimization and yield improvement in mask aligner lithography systemsHornung, Michael / Vogler, Uwe / Voelkel, Reinhard et al. | 2010
- C6Q12
-
Projection lithography below lambda/7 through deep-ultraviolet evanescent optical imagingXie, P. / Smith, B. W. et al. | 2010
- C6Q20
-
Development of a simple, compact, low-cost interference lithography systemKorre, Hasan / Fucetola, Corey P. / Johnson, Jeremy A. / Berggren, Karl K. et al. | 2010
- C6Q25
-
Nondestructive detection of deviation in integrated circuitsBaghaei, Leili / Dai, Bing / Pianetta, Piero / Pease, R. Fabian W. et al. | 2010
- C6S1
-
Impact of development chemistry on extreme ultraviolet resist performanceGronheid, Roel et al. | 2010
- C6S6
-
Comparison of positive tone versus negative tone resist pattern collapse behaviora)Yeh, Wei-Ming / Noga, David E. / Lawson, Richard A. / Tolbert, Laren M. / Henderson, Clifford L. et al. | 2010
- C6S12
-
High sensitivity nonchemically amplified molecular resists based on photosensitive dissolution inhibitorsLawson, Richard A. / Tolbert, Laren M. / Henderson, Clifford L. et al. | 2010
- C6S19
-
Photopatternable inorganic hardmaskTelecky, Alan / Xie, Peng / Stowers, Jason / Grenville, Andrew / Smith, Bruce / Keszler, Douglas A. et al. | 2010
- C6S23
-
Effects of salty-developer temperature on electron-beam-exposed hydrogen silsesquioxane resist for ultradense pattern transferYan, M. / Lee, J. / Ofuonye, B. / Choi, S. / Jang, J. H. / Adesida, I. et al. | 2010
- L61
-
Sputtering of (001)AlN thin films: Control of polarity by a seed layerMilyutin, E. / Harada, S. / Martin, D. / Carlin, J. F. / Grandjean, N. / Savu, V. / Vaszquez-Mena, O. / Brugger, J. / Muralt, P. et al. | 2010
- P1
-
Editorial| 2010
-
Nanostructures Batch wafer scale fabrication of passivated carbon nanotube transistors for electrochemical sensing applicationsMartin-Fernandez, I et al. | 2010
-
Microfluidics Three-dimensional microfluidic mixers using ion beam lithography and micromachiningPalacios, E et al. | 2010
-
Nanobiology Fabrication of three-dimensional structures for the assessment of cell mechanical interactions within cell monolayersFuard, David et al. | 2010
-
Editorial EditorialLucovsky, Gerry et al. | 2010
-
Directed Assembly Argon ion multibeam nanopatterning of Ni-Cu inserts for injection moldingKoeck, Anton et al. | 2010
-
Nanomechanics Microelectromechanical systems for biomimetical applicationsLatif, Rhonira et al. | 2010
-
Resists Impact of development chemistry on extreme ultraviolet resist performanceGronheid, Roel et al. | 2010
-
Nanoimprint Aspects of hybrid pattern definition while combining thermal nanoimprint with optical lithographyScheer, H-C et al. | 2010
-
Electron Beams High-current electron optical design for reflective electron beam lithography direct write lithographyMcCord, Mark et al. | 2010
-
Masks and Maskless Lithography Blanking characteristics of a miniature electron beam columnSilver, C S et al. | 2010
-
Novel Imaging-Optical Lithography Optical and computed evaluation of keyhole diffractive imaging for lensless x-ray microscopyDai, Bing et al. | 2010
-
PHOTON BEAM TECHNOLOGY AND NANOFABRICATION PAPERS FROM THE 54th INTERNATIONAL CONFERENCE ON ELECTRON, ION, AND Preface| 2010
-
Nanophotonics On the fabrication of three-dimensional silicon-on-insulator based optical phased array for agile and large angle laser beam steering systemsHosseini, Amir et al. | 2010
-
Letters Sputtering of (001)AIN thin films: Control of polarity by a seed layerMilyutin, E et al. | 2010
-
Extreme Ultraviolet Lithography Wavelength-specific reflections: A decade of extreme ultraviolet actinic mask inspection researchGoldberg, K A et al. | 2010
-
Focused Ion Beams Focused chromium ion beamSteele, A V et al. | 2010
-
Metrology and Imaging Application of analytic scanning electron microscopy to critical dimensions metrology at nanometer scaleBabin, Sergey et al. | 2010
-
Modeling Mask-topography-induced phase effects and wave aberrations in optical and extreme ultraviolet lithographyErdmann, A et al. | 2010
-
Plenary To charge or not to charge: 50 years of lithographic choicesFabian Pease, R et al. | 2010
-
Emerging Technologies Direct transformation of a resist pattern into a graphene field effect transistor through interfacial graphitization of liquid galliumFujita, Jun-ichi et al. | 2010
-
Nanoelectronics Low damage fully self-aligned replacement gate process for fabricating deep sub-100 nm gate length GaAs metal-oxide-semiconductor field-effect transistorsLi, X et al. | 2010
-
Comparison of positive tone versus negative tone resist pattern collapse behaviorYeh, Wei-Ming et al. | 2010