Stack engineering of TANOS charge-trap flash memory cell using high-κ ZrO2 grown by ALD as charge trapping layer (English)
- New search for: Congedo, G.
- New search for: Lamperti, A.
- New search for: Lamagna, L.
- New search for: Spiga, S.
- New search for: Congedo, G.
- New search for: Lamperti, A.
- New search for: Lamagna, L.
- New search for: Spiga, S.
In:
Microelectronic Engineering
;
88
, 7
;
1174-1177
;
2011
-
ISSN:
- Article (Journal) / Electronic Resource
-
Title:Stack engineering of TANOS charge-trap flash memory cell using high-κ ZrO2 grown by ALD as charge trapping layer
-
Contributors:
-
Published in:Microelectronic Engineering ; 88, 7 ; 1174-1177
-
Publisher:
- New search for: Elsevier B.V.
-
Publication date:2011-01-01
-
Size:4 pages
-
ISSN:
-
DOI:
-
Type of media:Article (Journal)
-
Type of material:Electronic Resource
-
Language:English
-
Keywords:
-
Source:
Table of contents – Volume 88, Issue 7
The tables of contents are generated automatically and are based on the data records of the individual contributions available in the index of the TIB portal. The display of the Tables of Contents may therefore be incomplete.
- 1043
-
Preface| 2011
- 1044
-
Moore’s crystal ball: Device physics and technology past the 15nm generationKuhn, Kelin J. et al. | 2011
- 1050
-
Band offsets at the (100)GaSb/Al2O3 interface from internal electron photoemission studyAfanas’ev, V.V. / Chou, H.-Y. / Stesmans, A. / Merckling, C. / Sun, X. et al. | 2011
- 1054
-
The structural and electrical properties of the SrTa2O6/In0.53Ga0.47As/InP systemZhang, P.F. / Nagle, R.E. / Deepak, N. / Povey, I.M. / Gomeniuk, Y.Y. / O’Connor, E. / Petkov, N. / Schmidt, M. / O’Regan, T.P. / Cherkaoui, K. et al. | 2011
- 1058
-
Direct measurement of interfacial structure in epitaxial Gd2O3 on GaAs (001) using scanning tunneling microscopyChiu, Y.P. / Shih, M.C. / Huang, B.C. / Shen, J.Y. / Huang, M.L. / Lee, W.C. / Chang, P. / Chiang, T.H. / Hong, M. / Kwo, J. et al. | 2011
- 1061
-
Is interfacial chemistry correlated to gap states for high-k/III–V interfaces?Wang, W. / Hinkle, C.L. / Vogel, E.M. / Cho, K. / Wallace, R.M. et al. | 2011
- 1066
-
1.2nm capacitance equivalent thickness gate stacks on Si-passivated GaAsEl Kazzi, M. / Webb, D.J. / Czornomaz, L. / Rossel, C. / Gerl, C. / Richter, M. / Sousa, M. / Caimi, D. / Siegwart, H. / Fompeyrine, J. et al. | 2011
- 1070
-
III–V nMOSFETs – Some issues associated with roadmap worthiness (invited)Thayne, Iain / Bentley, Steven / Holland, Martin / Jansen, Wout / Li, Xu / Macintyre, Douglas / Thoms, Stephen / Shin, Byungha / Ahn, Jaesoo / McIntyre, Paul et al. | 2011
- 1076
-
On the mechanisms limiting mobility in InP/InGaAs buried channel nMISFETsUrabe, Y. / Yasuda, T. / Ishii, H. / Itatani, T. / Miyata, N. / Yamada, H. / Fukuhara, N. / Hata, M. / Takenaka, M. / Takagi, S. et al. | 2011
- 1079
-
Mobility spectrum analysis of anisotropic electron transport in N-polar GaN/AlGaN heterostructures on vicinal sapphire substratesUmana-Membreno, G.A. / Fehlberg, T.B. / Kolluri, S. / Brown, D.F. / Parish, G. / Nener, B.D. / Keller, S. / Mishra, U.K. / Faraone, L. et al. | 2011
- 1083
-
Remote phonon and surface roughness limited universal electron mobility of In0.53Ga0.47As surface channel MOSFETsSonnet, A.M. / Galatage, R.V. / Hurley, P.K. / Pelucchi, E. / Thomas, K. / Gocalinska, A. / Huang, J. / Goel, N. / Bersuker, G. / Kirk, W.P. et al. | 2011
- 1087
-
AC response analysis of C–V curves and quantitative analysis of conductance curves in Al2O3/InP interfacesTaoka, Noriyuki / Yokoyama, Masafumi / Kim, Sang Hyeon / Suzuki, Rena / Hoshii, Takuya / Iida, Ryo / Lee, Sunghoon / Urabe, Yuji / Miyata, Noriyuki / Yasuda, Tetsuji et al. | 2011
- 1091
-
Interface composition of atomic layer deposited HfO2 and Al2O3 thin films on InAs studied by X-ray photoemission spectroscopyTimm, R. / Hjort, M. / Fian, A. / Thelander, C. / Lind, E. / Andersen, J.N. / Wernersson, L.-E. / Mikkelsen, A. et al. | 2011
- 1095
-
Interface state densities, low frequency noise and electron mobility in surface channel In0.53Ga0.47As n-MOSFETs with a ZrO2 gate dielectricNegara, Muhammad Adi / Goel, Niti / Bauza, Daniel / Ghibaudo, Gerard / Hurley, Paul K. et al. | 2011
- 1098
-
Atomic-layer-deposited tantalum silicate as a gate dielectric for III–V MOS devicesAdelmann, C. / Lin, D. / Nyns, L. / Schepers, B. / Delabie, A. / Van Elshocht, S. / Caymax, M. et al. | 2011
- 1101
-
In situ atomic layer deposition and synchrotron-radiation photoemission study of Al2O3 on pristine n-GaAs(001)-4×6 surfaceChang, Y.H. / Huang, M.L. / Chang, P. / Shen, J.Y. / Chen, B.R. / Hsu, C.L. / Pi, T.W. / Hong, M. / Kwo, J. et al. | 2011
- 1101
-
In situ atomic layer deposition and synchrotron-radiation photoemission study of Al2O3 on pristine n-GaAs(001)-4x6 surfaceChang, Y. H. / Huang, M. L. / Chang, P. / Shen, J. Y. / Chen, B. R. / Hsu, C. L. / Pi, T. W. / Hong, M. / Kwo, J. et al. | 2011
- 1105
-
Temperature and annealing effects on InAs nanowire MOSFETsJohansson, S. / Gorji Ghalamestani, S. / Borg, M. / Lind, E. / Wernersson, L.E. et al. | 2011
- 1109
-
Improving electrical characteristics of W/HfO2/In0.53Ga0.47As gate stacks by altering deposition techniquesZade, D. / Kakushima, K. / Kanda, T. / Lin, Y.C. / Ahmet, P. / Tsutsui, K. / Nishiyama, A. / Sugii, N. / Chang, E.Y. / Natori, K. et al. | 2011
- 1113
-
Materials and process aspect of cross-point RRAM (invited)Lee, Joonmyoung / Jo, Minseok / Seong, Dong-jun / Shin, Jungho / Hwang, Hyunsang et al. | 2011
- 1119
-
Filament diffusion model for simulating reset and retention processes in RRAMLarentis, S. / Cagli, C. / Nardi, F. / Ielmini, D. et al. | 2011
- 1124
-
Evidence for compliance controlled oxygen vacancy and metal filament based resistive switching mechanisms in RRAMRaghavan, Nagarajan / Pey, Kin Leong / Liu, Wenhu / Wu, Xing / Li, Xiang / Bosman, Michel et al. | 2011
- 1129
-
Accurate analysis of parasitic current overshoot during forming operation in RRAMsTirano, S. / Perniola, L. / Buckley, J. / Cluzel, J. / Jousseaume, V. / Muller, Ch. / Deleruyelle, D. / De Salvo, B. / Reimbold, G. et al. | 2011
- 1133
-
Resistive switching characteristics of CMOS embedded HfO2-based 1T1R cellsWalczyk, D. / Walczyk, Ch. / Schroeder, T. / Bertaud, T. / Sowińska, M. / Lukosius, M. / Fraschke, M. / Tillack, B. / Wenger, Ch. et al. | 2011
- 1136
-
Resistive switching characteristics of ultra-thin TiOxPark, Jubong / Jung, Seungjae / Lee, Joonmyoung / Lee, Wootae / Kim, Seonghyun / Shin, Jungho / Hwang, Hyunsang et al. | 2011
- 1140
-
Resistance switching in HfO2-based OxRRAM devicesCalka, P. / Martinez, E. / Lafond, D. / Dansas, H. / Tirano, S. / Jousseaume, V. / Bertin, F. / Guedj, C. et al. | 2011
- 1143
-
Resistive switching characteristics of solution-processed TiOx for next-generation non-volatile memory application; transparency, flexibility, and nano-scale memory feasibilityJung, Seungjae / Kong, Jaemin / Song, Sunghoon / Lee, Kwanghee / Lee, Takhee / Hwang, Hyunsang / Jeon, Sanghun et al. | 2011
- 1148
-
The influence of crystallinity on the resistive switching behavior of TiO2Mähne, H. / Slesazeck, S. / Jakschik, S. / Dirnstorfer, I. / Mikolajick, T. et al. | 2011
- 1152
-
Impact of insertion of ultrathin TaOx layer at the Pt/TiO2 interface on resistive switching characteristicsWei, Guobin / Murakami, Hideki / Fujioka, Tomohiro / Ohta, Akio / Goto, Yuta / Higashi, Seiichiro / Miyazaki, Seiichi et al. | 2011
- 1155
-
Effect of high temperature annealing on tunnel oxide properties in TANOS devicesArreghini, A. / Zahid, M.B. / Van den bosch, G. / Suhane, A. / Breuil, L. / Cacciato, A. / Van Houdt, J. et al. | 2011
- 1159
-
Enhanced operation and retention characteristics in charge-trapping flash memory device with a novel Si/Ge super-lattice channelLiu, Li-Jung / Chang-Liao, Kuei-Shu / Jian, Yi-Chuen / Wang, Tien-Ko et al. | 2011
- 1164
-
Optimization of gate stack parameters towards 3D-SONOS applicationBreuil, L. / Van den bosch, G. / Cacciato, A. / Date, L. / Kar, G.S. / Tang, B. / Arreghini, A. / Debusschere, I. / Van Houdt, J. et al. | 2011
- 1168
-
Charge transport in high-κ stacks for charge-trapping memory applications: A modeling perspective (invited)Larcher, Luca / Padovani, Andrea / Vandelli, Luca / Pavan, Paolo et al. | 2011
- 1168
-
Charge transport in high-k stacks for charge-trapping memory applications: A modeling perspective (invited)Larcher, L. / Padovani, A. / Vandelli, L. / Pavan, P. et al. | 2011
- 1174
-
Stack engineering of TANOS charge-trap flash memory cell using high-k ZrO2 grown by ALD as charge trapping layerCongedo, G. / Lamperti, A. / Lamagna, L. / Spiga, S. et al. | 2011
- 1174
-
Stack engineering of TANOS charge-trap flash memory cell using high-κ ZrO2 grown by ALD as charge trapping layerCongedo, G. / Lamperti, A. / Lamagna, L. / Spiga, S. et al. | 2011
- 1178
-
Influence of metal gate and capping film stress on TANOS cell performanceCzernohorsky, M. / Melde, T. / Beyer, V. / Beug, M.F. / Paul, J. / Hoffmann, R. / Knöfler, R. / Tilke, A.T. et al. | 2011
- 1182
-
BE-TANOS: Feasibility and technology limitationsGhidini, G. / Galbiati, N. / Scozzari, C. / Sebastiani, A. / Piagge, R. / Del Vitto, A. / Comite, P. / Alessandri, M. / Tessariol, P. / Baldi, I. et al. | 2011
- 1186
-
Investigation of reoxidation mechanisms in nitrided tunnel oxides for Flash memory applicationsBreil, N. / Cassagnard, L. / Arsac, C. / Duru, R. / Briend, G. et al. | 2011
- 1189
-
Optimization of hafnium oxide for use in nanoparticle memoriesVerrelli, E. / Tsoukalas, D. et al. | 2011
- 1194
-
Improved retention characteristic of charge-trapped flash device with sealing layer/Al2O3 or Al2O3/high-k stacked blocking layersYe, Zong-Hao / Chang-Liao, Kuei-Shu / Shiu, Feng-Wen / Wang, Tien-Ko et al. | 2011
- 1198
-
Ultra-dense silicon nanowires: A technology, transport and interfaces challenges insight (invited)Ernst, T. / Barraud, S. / Tachi, K. / Vizioz, C. / Magis, T. / Brianceau, P. / Hubert, A. / Vulliet, N. / Hartmann, J.-M. / Cassé, M. et al. | 2011
- 1203
-
A FinFET memory with remote carrier trapping in ONO buried insulatorChang, S.-J. / Bawedin, M. / Xiong, W. / Jeon, S.C. / Lee, J.-H. / Cristoloveanu, S. et al. | 2011
- 1207
-
Atomic-layer-deposited Al2O3 and HfO2 on GaN: A comparative study on interfaces and electrical characteristicsChang, Y.C. / Huang, M.L. / Chang, Y.H. / Lee, Y.J. / Chiu, H.C. / Kwo, J. / Hong, M. et al. | 2011
- 1211
-
Graphene: Materials to devices (invited)Chae, J. / Ha, J. / Baek, H. / Kuk, Y. / Jung, S.Y. / Song, Y.J. / Zhitenev, N.B. / Stroscio, J.A. / Woo, S.J. / Son, Y.-W. et al. | 2011
- 1214
-
Shallow trench isolation based on selective formation of oxidized porous siliconGharbi, A. / Remaki, B. / Halimaoui, A. / Bensahel, D. / Souifi, A. et al. | 2011
- 1217
-
Influence of La substitution on the electrical properties of metal–ferroelectric (BiFeO3)-insulator (CeO2)-semiconductor nonvolatile memory structuresJuan, Pi-Chun / Hsu, Chih-Wei / Liu, Chuan-Hsi / Wang, Ming-Tsong / Yeh, Ling-Yen et al. | 2011
- 1221
-
Performance enhancement of GaN SB-MOSFET on Si substrate using two-step growth methodKim, Dong-Seok / Kim, Tae-Hyeon / Won, Chul-Ho / Kang, Hee-Sung / Kim, Ki-Won / Im, Ki-Sik / Lee, Yong Soo / Hahm, Sung-Ho / Lee, Jung-Hee / Lee, Jae-Hoon et al. | 2011
- 1225
-
Charge trapping and interface characteristics in normally-off Al2O3/GaN-MOSFETsKim, Ki-Won / Jung, Sung-Dal / Kim, Dong-Seok / Im, Ki-Sik / Kang, Hee-Sung / Lee, Jung-Hee / Bae, Youngho / Kwon, Dae-Hyuk / Cristoloveanu, Sorin et al. | 2011
- 1228
-
Study of CVD nanowire high-k metal interface quality for interconnect level MOS devicesMorel, P.H. / Leroux, C. / Hartmann, J.M. / Morin, C. / Faucherand, P. / Perraud, S. / Cagnon, L. / Baron, T. / Salem, B. / Fayolle-Lecocq, M. et al. | 2011
- 1232
-
Epitaxy of BaTiO3 thin film on Si(001) using a SrTiO3 buffer layer for non-volatile memory applicationNiu, G. / Yin, S. / Saint-Girons, G. / Gautier, B. / Lecoeur, P. / Pillard, V. / Hollinger, G. / Vilquin, B. et al. | 2011
- 1236
-
Three-interface pseudo-MOSFET models for the characterization of SOI wafers with ultrathin film and BOXRodriguez, Noel / Cristoloveanu, Sorin / Maqueda, Mariazel / Gámiz, Francisco / Allibert, Frederic et al. | 2011
- 1240
-
Nanosized metal grains induced electrical characteristic fluctuation in 16-nm-gate high-κ/metal gate bulk FinFET devicesLi, Yiming / Cheng, Hui-Wen / Yiu, Chun-Yen / Su, Hsin-Wen et al. | 2011
- 1240
-
Nanosized metal grains induced electrical characteristic fluctuation in 16-nm-gate high-k/metal gate bulk FinFET devicesLi, Y. / Cheng, H. W. / Yiu, C. Y. / Su, H. W. et al. | 2011
- 1243
-
Temperature and voltage dependences of the capture and emission times of individual traps in high-k dielectricsToledano-Luque, M. / Kaczer, B. / Simoen, E. / Roussel, Ph. J. / Veloso, A. / Grasser, T. / Groeseneken, G. et al. | 2011
- 1247
-
Interface states characterization in heterojunction solar cells from CV–GV measurements and modelingGarros, X. / Reimbold, G. / Cluzel, J. / Muñoz, D. / Ribeyron, P.-J. et al. | 2011
- 1251
-
Towards barrier height modulation in HfO2/TiN by oxygen scavenging – Dielectric defects or metal induced gap states?Pantisano, Luigi / Afanas’ev, V.V. / Cimino, S. / Adelmann, C. / Goux, L. / Chen, Y.Y. / Kittl, J.A. / Wouters, D. / Jurczak, M. et al. | 2011
- 1255
-
Evaluation of the N- and La-induced defects in the high-k gate stack using low frequency noise characterizationYoung, C. D. / Veksler, D. / Rumyantsev, S. / Huang, J. / Park, H. / Taylor, W. / Shur, M. / Bersuker, G. et al. | 2011
- 1255
-
Evaluation of the N- and La-induced defects in the high-κ gate stack using low frequency noise characterizationYoung, C.D. / Veksler, D. / Rumyantsev, S. / Huang, J. / Park, H. / Taylor, W. / Shur, M. / Bersuker, G. et al. | 2011
- 1259
-
Radiation effects in new materials for nano-devicesSchrimpf, R.D. / Fleetwood, D.M. / Alles, M.L. / Reed, R.A. / Lucovsky, G. / Pantelides, S.T. et al. | 2011
- 1265
-
Performance of (110) p-channel SOI-MOSFETs fabricated by deep-amorphization and solid-phase epitaxial regrowth processesOhata, A. / Bae, Y. / Signamarcheix, T. / Widiez, J. / Ghyselen, B. / Faynot, O. / Clavelier, L. / Cristoloveanu, S. et al. | 2011
- 1269
-
Interface traps and random dopants induced characteristic fluctuations in emerging MOSFETsLi, Yiming / Cheng, Hui-Wen / Chiu, Yung-Yueh et al. | 2011
- 1272
-
Grain boundary mediated leakage current in polycrystalline HfO2 filmsMcKenna, K. / Shluger, A. / Iglesias, V. / Porti, M. / Nafría, M. / Lanza, M. / Bersuker, G. et al. | 2011
- 1276
-
Experimental investigation of ESD design window for fully depleted SOI N-MOSFETsBenoist, Thomas / Fenouillet-Beranger, Claire / Perreau, Pierre / Buj, Christel / Galy, Philippe / Marin-Cudraz, David / Faynot, Olivier / Cristoloveanu, Sorin / Gentil, Pierre et al. | 2011
- 1280
-
Improved low frequency noise model for MOSFET operated in non-linear regionBoutchacha, T. / Ghibaudo, G. et al. | 2011
- 1283
-
Low-frequency noise in SOI pseudo-MOSFET with pressure probesEl Hajj Diab, A. / Ionica, I. / Cristoloveanu, S. / Allibert, F. / Bae, Y.H. / Chroboczek, J.A. / Ghibaudo, G. et al. | 2011
- 1286
-
New numerical low frequency noise model for front and buried oxide trap density characterization in FDSOI MOSFETsEl Husseini, J. / Martinez, F. / Armand, J. / Bawedin, M. / Valenza, M. / Ritzenthaler, R. / Lime, F. / Iñiguez, B. / Faynot, O. / Le Royer, C. et al. | 2011
- 1291
-
Electron tunneling in MIS capacitors with the MBE-grown fluoride layers on Si(111) and Ge(111): Role of transverse momentum conservationIllarionov, Y.Y. / Vexler, M.I. / Suturin, S.M. / Fedorov, V.V. / Sokolov, N.S. / Tsutsui, K. / Takahashi, K. et al. | 2011
- 1295
-
Model for the leakage current decay in high-field stressed Al/HfYOx/GaAs structuresMiranda, E. / Mahata, C. / Das, T. / Maiti, C.K. et al. | 2011
- 1298
-
A comparative 1/f noise study of GeOI wafers obtained by the Ge enrichment technique and the Smart Cut technologyValenza, M. / El Husseini, J. / Gyani, J. / Martinez, F. / Bawedin, M. / Le Royer, C. / Augendre, E. / Damlencourt, J.F. et al. | 2011
- 1301
-
Gate-induced drain leakage in FD-SOI devices: What the TFET teaches us about the MOSFETWan, J. / Le Royer, C. / Zaslavsky, A. / Cristoloveanu, S. et al. | 2011
- 1305
-
Understanding reversal effects of metallic aluminum introduced in HfSiON/TiN PMOSFETsBaudot, S. / Leroux, C. / Chave, F. / Boujamaa, R. / Martinez, E. / Caubet, P. / Silly, M. / Sirotti, F. / Reimbold, G. / Ghibaudo, G. et al. | 2011
- 1309
-
A low gate leakage current and small equivalent oxide thickness MOSFET with Ti/HfO2 high-k gate dielectricFu, C.H. / Chang-Liao, K.S. / Chang, Y.A. / Hsu, Y.Y. / Tzeng, T.H. / Wang, T.K. / Heh, D.W. / Gu, P.Y. / Tsai, M.J. et al. | 2011
- 1312
-
Epitaxial strontium oxide layers on silicon for gate-first and gate-last TiN/HfO2 gate stack scalingFrank, Martin M. / Marchiori, Chiara / Bruley, John / Fompeyrine, Jean / Narayanan, Vijay et al. | 2011
- 1317
-
Ultrathin EOT high-κ/metal gate devices for future technologies: Challenges, achievements and perspectives (invited)Ragnarsson, L.-Å. / Chiarella, T. / Togo, M. / Schram, T. / Absil, P. / Hoffmann, T. et al. | 2011
- 1317
-
Ultrathin EOT high-k/metal gate devices for future technologies: Challenges, achievements and perspectives (invited)Ragnarsson, L. a. / Chiarella, T. / Togo, M. / Schram, T. / Absil, P. / Hoffmann, T. et al. | 2011
- 1323
-
LaScO3 as a higher-κ dielectric for p-MOSFETsDurğun Özben, E. / Schnee, M. / Nichau, A. / Mussmann, V. / Lupták, R. / Lopes, J.M.J. / Lenk, St. / Bourdelle, K.K. / Zhao, Q.T. / Schubert, J. et al. | 2011
- 1323
-
LaScO3 as a higher-k dielectric for p-MOSFETsDurgun Ozben, E. / Schnee, M. / Nichau, A. / Mussmann, V. / Luptak, R. / Lopes, J. M. / Lenk, S. / Bourdelle, K. K. / Zhao, Q. T. / Schubert, J. et al. | 2011
- 1326
-
Phase stabilization of sputtered strontium zirconateGrube, M. / Martin, D. / Weber, W.M. / Mikolajick, T. / Riechert, H. et al. | 2011
- 1330
-
Effect of thin Si insertion at metal gate/high-k interface on electrical characteristics of MOS device with La2O3Kitayama, D. / Koyanagi, T. / Kakushima, K. / Ahmet, P. / Tsutsui, K. / Nishiyama, A. / Sugii, N. / Natori, K. / Hattori, T. / Iwai, H. et al. | 2011
- 1334
-
Reliability and gate conduction variability of HfO2-based MOS devices: A combined nanoscale and device level studyBayerl, A. / Lanza, M. / Porti, M. / Campabadal, F. / Nafría, M. / Aymerich, X. / Benstetter, G. et al. | 2011
- 1338
-
Interfacial reactions of Gd- and Nb-oxide based high-k layers deposited by aqueous chemical solution depositionDewulf, D. / Peys, N. / Van Elshocht, S. / Rampelberg, G. / Detavernier, C. / De Gendt, S. / Hardy, A. / Van Bael, M.K. et al. | 2011
- 1342
-
Transport and interface states in high-κ LaSiOx dielectricGomeniuk, Y.Y. / Gomeniuk, Y.V. / Tyagulskii, I.P. / Tyagulskii, S.I. / Nazarov, A.N. / Lysenko, V.S. / Cherkaoui, K. / Monaghan, S. / Hurley, P.K. et al. | 2011
- 1342
-
Transport and interface states in high-k LaSiOx dielectricGomeniuk, Y. Y. / Gomeniuk, Y. V. / Tyagulskii, I. P. / Tyagulskii, S. I. / Nazarov, A. N. / Lysenko, V. S. / Cherkaoui, K. / Monaghan, S. / Hurley, P. K. et al. | 2011
- 1346
-
Millisecond flash-lamp annealing of LaLuO3Lehmann, J. / Shevchenko, N. / Mücklich, A. / Borany, J.v. / Skorupa, W. / Schubert, J. / Lopez, J.M.J. / Mantl, S. et al. | 2011
- 1349
-
Lanthanum diffusion in the TiN/LaOx/HfSiO/SiO2/Si stackMartinez, E. / Ronsheim, P. / Barnes, J.-P. / Rochat, N. / Py, M. / Hatzistergos, M. / Renault, O. / Silly, M. / Sirotti, F. / Bertin, F. et al. | 2011
- 1353
-
Effect of biasing at elevated temperature on the electronic structure of Pt/HfO2/Si stacksMatveyev, Yu. / Zenkevich, A. / Lebedinskii, Yu. / Thiess, S. / Drube, W. et al. | 2011
- 1357
-
Towards metal electrode interface scavenging of rare-earth scandates: A Sc2O3 and Gd2O3 studyPampillón, M.A. / Feijoo, P.C. / Andrés, E. San / Toledano-Luque, M. / del Prado, A. / Blázquez, A.J. / Lucía, M.L. et al. | 2011
- 1361
-
MOS devices with tetragonal ZrO2 as gate dielectric formed by annealing ZrO2/Ge/ZrO2 laminateWu, Yung-Hsien / Chen, Lun-Lun / Chen, Wei-Chia / Lin, Chia-Chun / Wu, Min-Lin / Wu, Jia-Rong et al. | 2011
- 1365
-
Physical analysis of breakdown in high-κ/metal gate stacks using TEM/EELS and STM for reliability enhancement (invited)Pey, Kin Leong / Raghavan, Nagarajan / Wu, Xing / Liu, Wenhu / Li, Xiang / Bosman, Michel / Shubhakar, Kalya / Lwin, Zin Zar / Chen, Yining / Qin, Hailang et al. | 2011
- 1365
-
Physical analysis of breakdown in high-k/metal gate stacks using TEM/EELS and STM for reliability enhancement (invited)Pey, K. L. / Raghavan, N. / Wu, X. / Liu, W. / Li, X. / Bosman, M. / Shubhakar, K. / Lwin, Z. Z. / Chen, Y. / Qin, H. et al. | 2011
- 1373
-
Bias dependence of PBTI degradation mechanism in metal-oxide-semiconductor field effect transistors with La-incorporated hafnium-based dielectricJang, Tae-Young / Kim, Dong-Hyoub / Kim, Jungwoo / Chang, Jun Suk / Jeong, Jae Kyeong / Heo, Yoon-Uk / Kim, Young-Ki / Choi, Changhwan / Park, Hokyung / Choi, Rino et al. | 2011
- 1376
-
SiON and SiO2/HfSiON gate oxides time dependent dielectric breakdown measurements at nanoscale in ultra high vacuumDelcroix, P. / Blonkowski, S. / Kogelschatz, M. / Rafik, M. / Gourhant, O. / JeanJean, D. / Beneyton, R. / Roy, D. / Federspiel, X. / Martin, F. et al. | 2011
- 1380
-
Initial leakage current related to extrinsic breakdown in HfO2/Al2O3 nanolaminate ALD dielectricsMartínez-Domingo, C. / Saura, X. / Conde, A. / Jiménez, D. / Miranda, E. / Rafí, J.M. / Campabadal, F. / Suñé, J. et al. | 2011
- 1384
-
NBTI related time-dependent variability of mobility and threshold voltage in pMOSFETs and their impact on circuit performanceAyala, N. / Martin-Martinez, J. / Amat, E. / Gonzalez, M.B. / Verheyen, P. / Rodriguez, R. / Nafria, M. / Aymerich, X. / Simoen, E. et al. | 2011
- 1388
-
On the impact of the Si passivation layer thickness on the NBTI of nanoscaled Si0.45Ge0.55 pMOSFETsFranco, J. / Kaczer, B. / Toledano-Luque, M. / Roussel, Ph. J. / Hehenberger, P. / Grasser, T. / Mitard, J. / Eneman, G. / Witters, L. / Hoffmann, T.Y. et al. | 2011
- 1392
-
On the dynamic NBTI of the HfO2 and HfSiON P–MOSFETGao, Y. / Ang, D.S. / Boo, A.A. / Teo, Z.Q. et al. | 2011
- 1396
-
From defects creation to circuit reliability – A bottom-up approach (invited)Huard, V. / Cacho, F. / Mamy Randriamihaja, Y. / Bravaix, A. et al. | 2011
- 1408
-
CHC degradation of strained devices based on SiON and high-k gate dielectric materialsAmat, E. / Rodríguez, R. / González, M.B. / Martín-Martínez, J. / Nafría, M. / Aymerich, X. / Verheyen, P. / Simoen, E. et al. | 2011
- 1412
-
Comprehensive studies of the degradation mechanism in amorphous InGaZnO transistors by the negative bias illumination stressJi, Kwang Hwan / Kim, Ji-In / Jung, Hong Yoon / Park, Se Yeob / Choi, Rino / Mo, Yeon Gon / Jeong, Jae Kyeong et al. | 2011
- 1417
-
Study on electrical characteristics and reliability of fluorinated HfO2 for HKMGLee, J.C. / Kim, Y.P. / Zulkarnain / Lee, S.J. / Lee, S.W. / Kang, S.B. / Choi, S.Y. / Roh, Y. et al. | 2011
- 1421
-
Identification of electron trap location degrading low-frequency noise and PBTI in poly-Si/HfO2/interface-layer gate-stack MOSFETsMatsuki, T. / Hettiarachchi, R. / Feng, W. / Shiraishi, K. / Yamada, K. / Ohmori, K. et al. | 2011
- 1425
-
Soft breakdown in irradiated high-κ nanolaminatesPalumbo, F. / Quinteros, C. / Campabadal, F. / Rafí, J.M. / Zabala, M. / Miranda, E. et al. | 2011
- 1425
-
Soft breakdown in irradiated high-k nanolaminatesPalumbo, F. / Quinteros, C. / Campabadal, F. / Rafi, J. M. / Zabala, M. / Miranda, E. et al. | 2011
- 1428
-
Charge trapping in substoichiometric germanium oxideBinder, Jan Felix / Broqvist, Peter / Pasquarello, Alfredo et al. | 2011
- 1432
-
Ge-related impurities in high-k oxides: Carrier traps and interaction with native defectsGolias, E. / Tsetseris, L. / Dimoulas, A. et al. | 2011
- 1436
-
Identification of defect levels at Formula Not Shown As/oxide interfaces through hybrid functionalsKomsa, H. P. / Pasquarello, A. et al. | 2011
- 1436
-
Identification of defect levels at As/oxide interfaces through hybrid functionalsKomsa, Hannu-Pekka / Pasquarello, Alfredo et al. | 2011
- 1436
-
Identification of defect levels at As/oxide interfaces through hybrid functionalsKomsa, Hannu-Pekka et al. | 2011
- 1440
-
Defect gap states on III–V semiconductor–oxide interfaces (invited)Robertson, J. / Lin, L. et al. | 2011
- 1444
-
Strain-induced ferromagnetism in LaCoO3: Theory and growth on Si (100)Posadas, A. / Berg, M. / Seo, H. / Smith, D.J. / Kirk, A.P. / Zhernokletov, D. / Wallace, R.M. / de Lozanne, A. / Demkov, A.A. et al. | 2011
- 1448
-
Defects-induced gap states in hydrogenated g-alumina used as blocking layer for non-volatile memoriesMasoero, L. / Blaise, P. / Molas, G. / Colonna, J. P. / Gely, M. / Barnes, J. P. / Ghibaudo, G. / De Salvo, B. et al. | 2011
- 1448
-
Defects-induced gap states in hydrogenated γ-alumina used as blocking layer for non-volatile memoriesMasoero, L. / Blaise, P. / Molas, G. / Colonna, J.P. / Gély, M. / Barnes, J.P. / Ghibaudo, G. / De Salvo, B. et al. | 2011
- 1452
-
The role of oxygen-related defects and hydrogen impurities in HfO2 and ZrO2Lyons, J.L. / Janotti, A. / Van de Walle, C.G. et al. | 2011
- 1457
-
Atomic-scale theory on degradation of HfSiON gate stacks by atomic hydrogen accompanied by its interaction with oxygen vacancy and substitutional nitrogenNakasaki, Yasushi / Hirano, Izumi / Kato, Koichi / Mitani, Yuuichiro et al. | 2011
- 1461
-
Shifting Schottky barrier heights with ultra-thin dielectric layersLin, L. / Robertson, J. / Clark, S.J. et al. | 2011
- 1464
-
On the identification of the oxygen vacancy in HfO2Clark, S.J. / Lin, L. / Robertson, J. et al. | 2011
- 1467
-
Band offsets at Ge/GeO2 interfaces: Effect of different interfacial bonding patternsBroqvist, Peter / Binder, Jan Felix / Pasquarello, Alfredo et al. | 2011
- 1471
-
O-vacancies in transition metal (TM) oxides: Coordination and local site symmetry of transition and negative ion states in TM2O3 and TMO2 oxidesLucovsky, G. / Zeller, D. / Whitten, J.L. et al. | 2011
- 1475
-
Electronic and optical properties of hafnia polymorphsPerevalov, T.V. / Ivanov, M.V. / Gritsenko, V.A. et al. | 2011
- 1478
-
Stability and charge transfer at the interface between SiC(0001) and epitaxial grapheneSclauzero, Gabriele / Pasquarello, Alfredo et al. | 2011
- 1482
-
Magnetic resonance spectroscopy of defects at the dielectric-semiconductor interface: Ge substrates and Si nanowires (invited)Fanciulli, M. / Molle, A. / Baldovino, S. / Vellei, A. et al. | 2011
- 1488
-
Nanoanalysis of a sub-nanometre reaction layer in a metal inserted high-k gate stackCraven, A.J. / Schaffer, B. / Sarahan, M.C. et al. | 2011
- 1492
-
Inherent interfacial Si dangling bond point defects in thermal (110)Si/SiO2Keunen, K. / Stesmans, A. / Afanas’ev, V.V. et al. | 2011
- 1495
-
Study of interfaces and band offsets in TiN/amorphous LaLuO3 gate stacksMitrovic, I.Z. / Simutis, G. / Davey, W.M. / Sedghi, N. / Hall, S. / Dhanak, V.R. / Alexandrou, I. / Wang, Q. / Lopes, J.M.J. / Schubert, J. et al. | 2011
- 1499
-
Investigation of bulk defects in amorphous and crystalline HfO2 thin filmsModreanu, M. / Monaghan, S. / Povey, I.M. / Cherkaoui, K. / Hurley, P.K. / Androulidaki, M. et al. | 2011
- 1503
-
Electron spin resonance study of defects in low-k oxide insulators (k=2.5-2.0)Afanas'ev, V. V. / Keunen, K. / Stesmans, A. / Jivanescu, M. / Tkei, Z. / Baklanov, M. R. / Beyer, G. P. et al. | 2011
- 1503
-
Electron spin resonance study of defects in low-κ oxide insulators (κ =2.5–2.0)Afanas’ev, V.V. / Keunen, K. / Stesmans, A. / Jivanescu, M. / Tőkei, Zs. / Baklanov, M.R. / Beyer, G.P. et al. | 2011
- 1507
-
MIM in 3D: Dream or reality? (invited)Klootwijk, J.H. / Jinesh, K.B. / Roozeboom, F. et al. | 2011
- 1514
-
Electrical properties of TiO2-based MIM capacitors deposited by TiCl4 and TTIP based atomic layer deposition processesHudec, Boris / Hušeková, Kristína / Tarre, Aivar / Han, Jeong Hwan / Han, Sora / Rosová, Alica / Lee, Woongkyu / Kasikov, Aarne / Song, Seul Ji / Aarik, Jaan et al. | 2011
- 1517
-
Improved EOT and leakage current for metal–insulator–metal capacitor stacks with rutile TiO2Popovici, Mihaela / Kim, Min-Soo / Tomida, Kazuyuki / Swerts, Johan / Tielens, Hilde / Moussa, Alain / Richard, Olivier / Bender, Hugo / Franquet, Alexis / Conard, Thierry et al. | 2011
- 1521
-
Single SrTiO3 and Al2O3/SrTiO3/Al2O3 based MIM capacitors: Impact of the bottom electrode materialBaristiran Kaynak, C. / Lukosius, M. / Tillack, B. / Wenger, Ch. / Blomberg, T. / Ruhl, G. et al. | 2011
- 1525
-
Post-deposition processing and oxygen content of TiO2-based capacitorsFröhlich, K. / Hudec, B. / Aarik, J. / Tarre, A. / Machajdík, D. / Kasikov, A. / Hušeková, K. / Gaži, Š. et al. | 2011
- 1529
-
Metal–insulator–metal capacitors with MOCVD grown Ce–Al–O as a dielectricLukosius, M. / Baristiran-Kaynak, C. / Abrutis, A. / Skapas, M. / Kubilius, V. / Zauner, A. / Ruhl, G. / Wenger, Ch. et al. | 2011
- 1533
-
Impact of GeOx interfacial layer thickness on Al2O3/Ge MOS interface propertiesZhang, R. / Iwasaki, T. / Taoka, N. / Takenaka, M. / Takagi, S. et al. | 2011
- 1537
-
Remote plasma-deposited GeO2 with quartz-like Ge- and O-local bonding: Band-edge state and O-vacancy comparisons with SiO2Lucovsky, G. / Zeller, D. / Wu, K. / Whitten, J.L. et al. | 2011
- 1541
-
Interfaces and performance: What future for nanoscale Ge and SiGe based CMOS?Le Royer, C. et al. | 2011
- 1549
-
Characterization of chemical bonding features at metal/GeO2 Interfaces by X-ray photoelectron spectroscopyMatsui, Masafumi / Murakami, Hideki / Fujioka, Tomohiro / Ohta, Akio / Higashi, Seiichiro / Miyazaki, Seiichi et al. | 2011
- 1553
-
Atomic layer deposition of Al2O3 on S-passivated GeSioncke, S. / Ceuppens, J. / Lin, D. / Nyns, L. / Delabie, A. / Struyf, H. / De Gendt, S. / Müller, M. / Beckhoff, B. / Caymax, M. et al. | 2011
- 1557
-
Band alignment of Hf–Zr oxides on Al2O3/GeO2/Ge stacksFadida, S. / Eizenberg, M. / Nyns, L. / Van Elshocht, S. / Caymax, M. et al. | 2011
- 1560
-
Improved electrical characteristics and reliability of Ge MOSFET device with nitrided high-k gate dielectric by plasma immersion ion implantationFu, Chung-Hao / Chang-Liao, Kuei-Shu / Tseng, Wei-Hao / Lu, Chun-Chang / Wang, Tien-Ko / Tsai, Wen-Fa / Li, Yu-Chen / Ai, Chi-Fong et al. | 2011
- 1564
-
Atomic bonding and disorder at Ge:GeO2 interfacesLi, H. / Lin, L. / Xiong, K. / Robertson, J. et al. | 2011
- 1569
-
Characterization of ion/electron beam induced deposition of electrical contacts at the sub-μm scaleBrunel, D. / Troadec, D. / Hourlier, D. / Deresmes, D. / Zdrojek, M. / Mélin, T. et al. | 2011
- 1569
-
Characterization of ion/electron beam induced deposition of electrical contacts at the sub-mm scaleBrunel, D. / Troadec, D. / Hourlier, D. / Deresmes, D. / Zdrojek, M. / Melin, T. et al. | 2011
- 1573
-
Charge-trapping MOS memory structure using anodic alumina charging mediumHourdakis, E. / Nassiopoulou, A.G. et al. | 2011
- 1576
-
Development of manipulation technology of ferroelectric polymer film: Photo-lithographic patterning and multilayer formationKim, Woo Young / Lee, Hee Chul et al. | 2011
- 1582
-
Effects of channel layer thickness on the electrical characteristics of top-gate staggered microcrystalline-Si thin-film transistorsJuang, M.-H. / Peng, Y.-S. / Shye, D.-C. / Hwang, C.-C. / Wang, J.-L. et al. | 2010
- 1586
-
Bipolar resistive switching effect in Gd2O3 films for transparent memory applicationLiu, Kou-Chen / Tzeng, Wen-Hsien / Chang, Kow-Ming / Chan, Yi-Chun / Kuo, Chun-Chih et al. | 2010
- 1590
-
Effects of gate insulators on the performance of a-IGZO TFT fabricated at room-temperatureChun, Yoon Soo / Chang, Seongpil / Lee, Sang Yeol et al. | 2011
- 1594
-
Improvement of replication accuracy of micro-featured molding using gas-assisted heating for mold surfaceChen, Shia Chung / Chang, Jen An / Hsu, Wei Yao / Huang, Sung Wei et al. | 2011
- 1601
-
ICP-RIE etching of self-aligned InP based HBTs with Cl2/N2 chemistryTopaloglu, S. / Prost, W. / Tegude, F.-J. et al. | 2011
- 1606
-
Fabrication of a nano-scale embedded metal electrode in flexible films by UV/thermal nanoimprint lithography toolsPark, S.Y. / Choi, K.B. / Lim, H.J. / Lee, J.J. et al. | 2011
- 1610
-
A study of SnAgCu solder paste transfer efficiency and effects of optimal reflow profile on solder depositsAmalu, E.H. / Lau, W.K. / Ekere, N.N. / Bhatti, R.S. / Mallik, S. / Otiaba, K.C. / Takyi, G. et al. | 2011
- 1618
-
Dielectric reliability of 70nm pitch air-gap interconnect structuresPantouvaki, Marianna / Sebaai, Farid / Kellens, Kristof / Goossens, Danny / Vereecke, Bart / Versluijs, Janko / Van Besien, Els / Caluwaerts, Rudy / Marrant, Koen / Bender, Hugo et al. | 2011
- 1623
-
Mechanical properties and fracture mechanism of porous SiOCH low-k dielectricsChang, H.L. / Kuo, C.T. / Liang, M.S. et al. | 2011
- 1628
-
Improved resistive switching properties of Ti/ZrO2/Pt memory devices for RRAM applicationWang, Sheng-Yu / Tsai, Chen-Han / Lee, Dai-Ying / Lin, Chih-Yang / Lin, Chun-Chieh / Tseng, Tseung-Yuen et al. | 2010
- 1633
-
3D simulation of triple-gate MOSFETs with different mobility regionsConde, J. / Cerdeira, A. / Pavanello, M. / Kilchytska, V. / Flandre, D. et al. | 2011
- 1637
-
A simple and versatile method for statistical analysis of the electrical properties of individual double walled carbon nanotubesSeichepine, Florent / Flahaut, Emmanuel / Vieu, Christophe et al. | 2011
- 1640
-
Author Index| 2011
- IFC
-
Inside Front Cover - Editorial Board| 2011
- v
-
Table of Contents| 2011