Novel methods for SPC defect monitoring: Normalizable diversity sampling: Defect inspection (English)
- New search for: Tolle, Ian
- New search for: Jain, Ankit
- New search for: Plihal, Martin
- New search for: Kini, Sumanth
- New search for: Tolle, Ian
- New search for: Jain, Ankit
- New search for: Plihal, Martin
- New search for: Kini, Sumanth
In:
2016 27th Annual SEMI Advanced Semiconductor Manufacturing Conference (ASMC)
;
83-86
;
2016
-
ISBN:
-
ISSN:
- Conference paper / Electronic Resource
-
Title:Novel methods for SPC defect monitoring: Normalizable diversity sampling: Defect inspection
-
Contributors:Tolle, Ian ( author ) / Jain, Ankit ( author ) / Plihal, Martin ( author ) / Kini, Sumanth ( author )
-
Published in:
-
Publisher:
- New search for: IEEE
-
Publication date:2016-05-01
-
Size:323853 byte
-
ISBN:
-
ISSN:
-
DOI:
-
Type of media:Conference paper
-
Type of material:Electronic Resource
-
Language:English
-
Source:
Table of contents conference proceedings
The tables of contents are generated automatically and are based on the data records of the individual contributions available in the index of the TIB portal. The display of the Tables of Contents may therefore be incomplete.
- 1
-
Effect of post CMP in-situ cleaning and its optimization on the defect improvement: CFM: Contamination free manufacturingKim, Hong Jin / Lee, Tae Hoon / Govindarajulu, Venugopal / Mazzotti, Jason et al. | 2016
- 6
-
FOUP purge performance improvement using EFEM flow converterKim, Seong Chan / Schelske, Greg et al. | 2016
- 12
-
A solvent free method for post pad etch wafer cleaningBoumerzoug, Mohamed et al. | 2016
- 16
-
Quality control for ultrafiltration of ultrapure water production for high end semiconductor manufacturingRuth, Jochen / Berndt, Rolf et al. | 2016
- 23
-
Molybdenum contamination in BF2 high current ion implantation causing PNP beta variability: CFM/Contamination free manufacturingSmith, Aaron / Kurkowski, Peter / Budri, Thanas et al. | 2016
- 30
-
Manufacturing excellence using multi-platform ellipsometry thickness measurement fleet on advanced nodesLenahan, Michael / Vaid, Alok / Mahendrakar, Sridhar / Seipp, Steven / Jayez, David / Yueh, Alice / Saxena, Shweta / Solecky, Eric / Gizzi, Samuel / Heller, Amir et al. | 2016
- 37
-
HRXRD for in-line monitoring of advanced FD-SOI technology: Use-cases: AM: Advanced metrologyLe Cunff, D. / Duru, R. / Durand, A. / Pernot, F. / Wormington, M. / Tokar, A. / Rouchon, D. / Gergaud, P. et al. | 2016
- 44
-
Inline monitoring of SiGe strain relaxed buffers (SRBs) using high-resolution X-ray diffraction: AM: Advanced metrologyMendoza, B. / L'Herron, B. / Loubet, N. / Fronheiser, J. / Reznicek, A. / Gaudiello, J. / Gin, P. / Matney, K. M. / Wall, J. / Ryan, P. et al. | 2016
- 50
-
Poly recess depth in two different trench MOSFETs measured with scatterometry: AM: Advanced metrologyHeider, Franz / Janeschitz, Christian / Haberjahn, Martin / New, Chi Eng / Chow, Kin Loon / Roberts, Jeffrey W. et al. | 2016
- 54
-
Non-destructive acoustic metrology and void detection in 3×50μm TSVMair, R. / Kotelyanskii, M. / Mehendale, M. / Ru, X. / Mukundhan, P. / Kryman, T. / Liebens, M. / Van Huylenbroeck, S. / Haensel, L. / Miller, A. et al. | 2016
- 60
-
Line end voids defectivity improvement on 64 pitch Cu wire interconnects of 14 nm technologyDaino, Michael / Jensen, Graham / Jain, Ankit / Kini, Sumanth / Bawari, Atul / Rajagopalan, Balajee / Aizawa, Hirokazu / Choo, Jae / Srivastava, Amit / Tolle, Ian et al. | 2016
- 65
-
Process window discovery methodology development for advanced lithographyVan den Heuvel, Dieter / Foubert, Philippe / Baudemprez, Bart / Lee, Angelica / Cross, Andrew / Sah, Kaushik / Haque, Naoshin / Parisi, Paolo / Baris, Oksen et al. | 2016
- 72
-
Detection of printable EUV mask absorber defects and defect adders by full chip optical inspection of EUV patterned wafersMeli, Luciana / Halle, Scott D. / Bonam, Ravi / Felix, Nelson / Vemareddy, Kaushik et al. | 2016
- 79
-
A study on the interaction between barrier and plating causing edge stringer defects in 28nmRamanathan, Eswar / Fiacco, Antonio / Claire, Silvestre Mary / Parks, Val / Rajagopalan, Balajee / Scott, Hildreth / John, Barker / Riendeau, / Jeffrey, / Laloe, et al. | 2016
- 83
-
Novel methods for SPC defect monitoring: Normalizable diversity sampling: Defect inspectionTolle, Ian / Jain, Ankit / Plihal, Martin / Kini, Sumanth et al. | 2016
- 87
-
Empowering existing automated material handling systems to rising requirements: FA: Factory automationHammel, Christian / Schmaler, Robert / Schmidt, Thorsten / Lubke, Jorg / Schops, Matthias / Horn, Ulrich / Mosinski, Marcin et al. | 2016
- 94
-
Dispatching rules considering transport-related restrictions during failure scenarios — A use case: FA: Factory automationSchmaler, Robert / Hammel, Christian / Schmidt, Thorsten / Schoeps, Matthias et al. | 2016
- 100
-
Modeling the variance of the durations of maintenance activities in semiconductor fabsRegev, Itai / Parmet, Yisrael / Benson-Karhi, Diamanta et al. | 2016
- 106
-
Management of crisis situations in a large unified AMHS of a semiconductor manufacturing facility: IE: Industrial engineeringNdiaye, Moulaye Aidara / Dauzere-Peres, Stephane / Yugma, Claude / Rulliere, Lionel / Lamiable, Gilles et al. | 2016
- 110
-
AMHS design for reticles in photolithography area of an existing wafer fab: IE: Industrial engineeringBen-Salem, Ali / Yugma, Claude / Troncet, Emmanuel / Pinaton, Jacques et al. | 2016
- 116
-
450mm PVD MHM TiN process development and process chamber evaluation using DC power systemWang, Barry / Chen, M. H. / Xie, J. H. / Chang, Stock et al. | 2016
- 119
-
Advanced CMP processes for 450mm applicationsWang, H. M. / Kobata, I. / Ishibashi, T. / Stapft, G. / Franca, Daniel et al. | 2016
- 124
-
Bevel rinse optimization for reduced edge defectivity and improved edge yieldSilvestre, Mary Claire / Ramanathan, Eswar / Hildreth, Scott / Duggan, Mark / Riendeau, Jeffrey / Dumas, Laurent et al. | 2016
- 129
-
Copper process control with picosecond ultrasonic technology: A studyManikonda, Shravanthi L / Medikonda, Manasa / Patel, Snehal / Bello, Abner / Song, Jun / Mukundhan, Priya et al. | 2016
- 133
-
The correct level of model complexity in semiconductor fab simulation — Lessons learned from practiceRank, Sebastian / Hammel, Christian / Schmidt, Thorsten / Muller, Jan / Wenzel, Andre / Lasch, Rainer / Schneider, Germar et al. | 2016
- 147
-
Cu seed optimization for minimum pitch wiring in 10nm and beyondda Silva, Adam / Periasamy, Prakash / Sarad, Jeric / Mahalingam, Anbu Selvam / Liew, San Leong / Child, Craig et al. | 2016
- 149
-
Data mining to detect ion source failures in varian VIIsta implantersKurakula, Sidda Reddy / Trujillo, Joseph et al. | 2016
- 151
-
Detection of electrical defects with SEMVision in semiconductor production mode manufacturingNewell, Travis / Tillotson, Brock / Pearl, Haim / Miller, Andrei et al. | 2016
- 157
-
Develop gap-fill process of shallow trench isolation in 450mm wafer by advanced Flowable CVD technology for sub-20nm nodeChen, Min-Hui / Chang, Stock et al. | 2016
- 160
-
Elimination of Tungsten-voids in middle-of-line contacts for advanced planar CMOS and FinFET technologyPeng, Wen Pin / Chi, Min-Hwa et al. | 2016
- 164
-
Estimation of number of PGV for a 450mm fab: FA: Factory automationHuang, Chih-Wei / Borst, Christopher L. et al. | 2016
- 168
-
Impact of FOUP environment on product yield in advanced technologiesCase, Sara / Waite, Stephanie / Barker, John / Zhao, Wei / Kim, Jong Soo / Moore, Joshua / Ramanathan, Eswar et al. | 2016
- 172
-
Improvement of hydrogen detection limit for quadruple SIMS toolZhang, Z. / Hengstebeck, B. / Stevie, F. A. / Hopstaken, M. et al. | 2016
- 176
-
Measurement of nanoparticles on parts: Figures of merit of a liquid-borne particle counterLiu, Bin / Liu, Shi et al. | 2016
- 180
-
Multiple in/Multiple out, run to run controller for accurate and stable epitaxy processes: APC: Advanced process controlBenjamin, Rintsch / Gerhard, Lippl / Stefan, Lipp et al. | 2016
- 191
-
Next generation advanced process control: Leveraging big data and predictionMoyne, James / Schulze, Brad / Iskandar, Jimmy / Armacost, Michael et al. | 2016
- 197
-
Non-traditional inspection strategy for inline monitoring in excursion scenarios: Defect inspectionSrivastava, Amit / Tolle, Ian / Mraz, Aleister / Gupta, Sachin / Huang, Ronald / Nguyen, Hoang / Dey, Liton / Jain, Ankit / Lee, Sang-Hyun / Kini, Sumanth et al. | 2016
- 201
-
On-site fluorine chamber cleaning for semiconductor thin-film processes: Shorter cycle times, lower greenhouse gas emissions, and lower power requirementsCigal, Jean-Charles / Lee, Sean / Stockman, Paul et al. | 2016
- 206
-
Optimization of pre and post recipe sensitivity for unpattemed wafer defectivity inspectionRestaino, Darryl / Hurst, Nicole / Abrams, Todd / Parker, Alexander / Palamadai, Chandar / Arrandale, Mayrita / Macnish, Shawn et al. | 2016
- 212
-
Parameters influencing unwanted growth during epitaxial growth of SiGeBhat, Talapady Srivatsa / Chadwick, Aaron / Wei, Hong / Sharma, Ankur / Kumarasamy, Sivakumar / Stoker, Matthew / Hildreth, Scott / Chung, Keith / Hsieh, Ying Hao et al. | 2016
- 218
-
Process evaluation, validation, and monitoring with ring oscillator scribelane modulesDao, Hoang et al. | 2016
- 220
-
Rapid unconstrained fab model using a business intelligence tool: DM: Data management and data mining toolsPazhani, Subramaniam / Chakravarthi, Madan / Adhikari, Diwas et al. | 2016
- 224
-
Recovering from a yield excursion: A simulated case studyBickford, Jeanne Paulette / Bannister, Allison Rose et al. | 2016
- 229
-
Reduction of extra pattern defects in immersion layer reworks by cleans recipe optimization: CFM: Contamination free manufacturingBhattacharyya, Dhiman / Hong, Wei / Peng, Kay / Sih, Vincent et al. | 2016
- 233
-
Reliability assessment of anodic films under plasma etching processSong, Je-Boem / Kim, Jin-Tae / Lee, Seung-Su / Oh, Seong-Geun / Oh, Eunsoon / Yun, Ju-Young et al. | 2016
- 237
-
STI 28nm pitch guided DSA to enable the 450mm tools qualification and transitionLarrea, Anne-Sophie / Dunn, Shannon / Collison, Wenli / Franca, Daniel / Borst, Christopher / Lee, Janghee / Lim, JongHeun J H / Chang, Stock et al. | 2016
- 243
-
Supplier engagementTucker, David / Brown, Alison / Royalty, Robert / Hall, Curtis et al. | 2016
- 246
-
Surface contamination control through Atomically Clean Surface (ACS™) processing for semiconductor equipment parts for sub-20 nanometer nodes (Topics: CFM, DI, ER, YE)Sidhwa, Ardeshir Ardy J. / Khalil, Osama / Deem, John / Zuck, David / House, Matthew et al. | 2016
- 252
-
Thermal transport in nanostructured electronic materials: ET/ID: Enabling technologies and innovative devicesFrance-Lanord, Arthur / Eyert, Volker / Mavromaras, Alexander / Tarnovsky, Roman / Wimmer, Erich / Freeman, Clive / Saxe, Paul et al. | 2016
- 258
-
The use of CGS technology in a 3D manufacturing environmentMileham, Jeffrey / Owen, David M. / Anberg, Doug / Tanaka, Yasushi et al. | 2016
- 263
-
Very sensitive real-time inline process mass spectrometer based on FFT Ion Trap techniqueChung, Hin Yiu / Aliman, Michel / Fedosenko, Gennady / Laue, Alexander / Reuter, Rudiger / Derpmann, Valerie / Gorkhover, Leonid / Antoni, Martin et al. | 2016
- 267
-
Visible and nonvisible defects in 3DIC flowsOrbon, Jacob et al. | 2016
- 271
-
Voltage regulator bin failure improved by optimized circuit layout and novel laser anneal processMa, Y. W. / Huang, Wei Liang / Lai, Chien Hsin / Lim, P. G. / Lam, Hein Mun / Leong, Chee Kong et al. | 2016
- 274
-
Yield enhancement in stripper process and related process using SensArray HighTemp waferTseng, Shih-En / Chen, Ming-Lang et al. | 2016
- 278
-
In-line characterization of EDRAM for a FINFET technology using VC inspectionPatterson, Oliver D. / Hafer, Richard / Mittal, Surbhi / Arya, Ankur / Stein, Kenneth / Ho, Herbert / Davies, William / Tang, Xiaohu / Hsieh, Brian Yueh-Ling / Lei, Shuen-Cheng Chris et al. | 2016
- 285
-
A case study on inline defect diagnosis by applying e-beam inspection systemChien, Hao-Yu / Hsu, Chan-Hao / Yen, Yue-Ying / Ying, Tzung-Hua et al. | 2016
- 289
-
E-beam tool-to-tool matching methodology: DI: Defect inspection and reductionZhou, Xing J. / Derek Kim, Ho Young et al. | 2016
- 294
-
Detection and classification of gate to S/D shorts using charge dynamicsLei, Ming / Wu, Kevin / Tian, Qing / Zhao, Yan et al. | 2016
- 300
-
Laser-based FA techniques for monitoring of defective SRAM junctionsJohnson, Gregory M. / D'Aleo, Christopher et al. | 2016
- 308
-
Improved 20nm device yield and gate dielectric integrity with optimized aluminum metal fill processWilliams, David / Bordelon, Clint / Drizlikh, Sergei / Kirsch, Paul D. / Lam, Kin-Sang / Coppala, Paul / Guerassio, Ian / Hira, Nikhil Bharat / Trigno, Steven / Nester, Paul et al. | 2016
- 313
-
Investigation on critical thickness dependence of ALD TiN diffusion barrier in MOLSubramaniyan, Archana / Luppi, Domingo Ferrer / Makela, Neal / Bauer, Lawrence / Madan, Anita / Murphy, Richard / Baumann, Frieder / Kohli, Kriti / Parks, Christopher et al. | 2016
- 316
-
Metal wiring critical dimension shrink using ALD spacer in BEOL sub-50nm pitchShah, Ketan / Periasamy, Prakash / Chandrasekhar, Ashwini / Mahalingam, Anbu Selvam K M / Pal, Shyam / Ordonio, Christopher / Welti, Peter / Low, Chun Hui / Child, Craig et al. | 2016
- 320
-
Interface preservation during Ge-rich source/drain contact formationNiu, C. / Raymond, M. / Kamineni, V. / Fronheiser, J. / Siddiqui, S. / Niimi, H. / Dechene, J. M. / Labonte, A. / Adusumilli, P. / Carr, A.V. et al. | 2016
- 324
-
Moore's law continues into the 1x-nm eraJames, Dick et al. | 2016
- 330
-
Reduction of “Dark-Gate” defects in replacement-metal-gate process and middle-of-line contacts for advanced planar CMOS and FinFET technologyPeng, Wen Pin / Chi, Min-Hwa et al. | 2016
- 335
-
Detecting and preventing gate oxide plasma damage during PECVD carbon deposition through surface photovoltage measurementsFritz, Alan K. / Olmer, Leonard J. et al. | 2016
- 341
-
Optimized circuit design and novel Al deposition process cure power short failure caused by Al whiskerMa, Y. W. / Lai, Chien Hsin / Zhang, Zhi Min / Huang, Wei Liang / Li, Chao Yong / Zhou, Cong Shu / Leong, Chee Kong et al. | 2016
- 344
-
Yield improvement and queue time relaxation at contact processGarg, Niti / Helal, Philippe / Muralidhar, Pranesh / Crown, Stephen / Sih, Vincent / Waite, Stephanie / Scott, Silas et al. | 2016
- 350
-
Transient leakage of point-defects in gate oxide due to spatially transported constant-source of phosphorus contaminantsSheng, Lieyi / Williams, Brett / Haskett, Thomas / Glines, Eddie et al. | 2016
- 354
-
Extra-pattern killer defectivity improvement and enhancement of within-feature barrier coverage by optimization of TaN barrier PVD process in 90p Cu wire interconnects for 28nm technologyRajagopalan, Balajee / Laloe, Jean-Baptiste / Silvestre, Mary Claire / Ramanathan, Eswar / Khanal, Sohana / Laval, Alain / Ge, Qian / Takahashi, Nobuyuki / Mahalingam, Anbu Selvam / Liew, San Leong et al. | 2016
- 358
-
Pattern dependent plasma charging effect in high aspect ratio 3D NAND architectureYang, Zusing / Chung, Yao-An / Chang, Sheng-Yuan / Lee, Hong-Ji / Lian, Nan-Tzu / Yang, Tahone / Chen, Kuang-Chao / Lu, Chih Yuan et al. | 2016
- 361
-
Precleans challenges on middle-of-the-line contacts for 14nm technologies and beyondFerrer, Domingo A. / Levesque, Annie / Sirman, Asli / Lee, Junedong / Subramaniyan, Archana / Lanzerotti, Lou / Hilscher, David F. / Alptekin, Emre et al. | 2016
- 364
-
Optimization of wet clean and its impact on sub-50 nm pitch BEOL yieldIslam, A K M Sajjadul / Periasamy, Prakash / Chandrasekhar, Ashwini / Mahalingam, Anbu Selvam K M / Witt, Christian / Child, Craig et al. | 2016
- 367
-
Optimizing Wet Clean operations in an established manufacturing environmentVan Roijen, Raymond / Hilscher, David F. / Meagher, Colleen / Rettmann, Ryan / McKindles, Derek et al. | 2016
- 371
-
Novel hybrid 3D NAND flash memory containing vertical-gate and gate-all-around structuresChung, Yao-An / Yang, Zusing / Chiu, Yuan-Chieh / Hong, Shih-Ping / Lee, Hong-Ji / Lian, Nan-Tzu / Yang, Tahone / Chen, Kuang-Chao / Lu, Chih-Yuan et al. | 2016
- 375
-
Logic characterization vehicle design for yield learningNiewenhuis, Ben / Dexter Liu, Zeye / Mittal, Soumya / Blanton, R. D. Shawn et al. | 2016
- 381
-
Process window tripling by optimized SRAF placement rules: AP/DFM: Advanced patterning/design for manufacturabilityYin, Lianghong / Wang, Changan / Li, Luozhou / Wilkinson, William / Gao, Shaowen / Cantone, Jason / Hsieh, Michael et al. | 2016
- 387
-
Immersion lithography scanner readiness for volume manufacturing on 450mm substrates: AP/DFM: Advanced patterning/design for manufacturabilityCarr, Christopher R. / Munson, Jasper P. / Black, Russell A. et al. | 2016
- 393
-
Maintenance of virtual metrology modelsIskandar, Jimmy / Moyne, James et al. | 2016
- 399
-
A multi-step wafer-level run-to-run controller with sampled measurements for furnace deposition and CMP process flows: APC: Advanced process controlSun, Yulei / Reichelt, Joerg / Bormann, Tilo / Gondorf, Andreas et al. | 2016
- 403
-
Optical emission spectrum processing using discrete-wavelet transform compressionNing, Taikang / Huang, C H / Jensen, J. / Wong, V. / Chan, H. et al. | 2016
- 407
-
Virtual metrology based on relevant feature extraction and just-in-time learning approachJebri, M. A. / Graton, G. / Adel, E. M. El / Ouladsine, M. / Pinaton, J. et al. | 2016
- 413
-
An integrated approach to holistic metrology qualification for multi-patterning process layers: AM: Advanced metrologySchmitt-Weaver, Emil / Willems, Lotte / van der Laan, Hans / Wildenberg, Jochem / Adam, Omer / Grzela, Grzegorz / van Heijst, Joost / Megens, Henry / Bhattacharyya, Kaustuve et al. | 2016
- 419
-
Etch process monitoring possibilities and root cause analysisShapoval, T. / Engelmann, J. / Kroh, C. / Schmidt, N. / Agarwal, S. / Ramkhalawon, R. / Cangiano, A. / Debarge, L. / Haupt, R. / Melzer, R. et al. | 2016
- 423
-
Reducing metrology mean-time-to-detect by utilizing product dataCrauder, Douglas / Solecky, Eric / Emans, Jason et al. | 2016
- 429
-
A novel technique for epitaxy tool-to-tool and chamber matching and optimization: ER: Equipment reliability and productivity enhancementsCosway, Richard G. / Burch, Steven R. / Rosser, Andrew D. / Lazok, Phillip T. et al. | 2016
- 435
-
Throughput evaluation model for the linear platform in semiconductor manufacturingYang, Kai-Ting / Huang, Elvis / Ke, Leo / Shen, Tina et al. | 2016
- 440
-
Wait-time-waste improvement opportunities and ‘smart manufacturing’ in legacy 200mm fabsDriessen, Jan / Sjardijn, Rene / van Heukelom, Frank / van Roest, Corstian / Mom, Martijn et al. | 2016
- 446
-
Trench first metal hardmask post-lithography novel rework process for defectivity and yield improvementSilvestre, Mary Claire / Gogna, Mukesh / Mahalingam, Anbu Selvam K M / Ramanathan, Eswar / Ordonio, Christopher / Schaller, John et al. | 2016
- 451
-
Inline electrical yield versus optical inspection: Correlations, connections and disconnectionsZheng, Fan / Salvador, Dave / Gow, Cathy / Kermel, Lori / Rhoads, Bryan / Zhang, Kan / Pan, Xiao / Stahl, Ben / Davies, William / Tessier, Amanda et al. | 2016
- 456
-
Polymer surface treatment to reduce RDL leakage and solve delamination issue: YE: Yield enhancement/learningHuang, W. L. / Wong, J. J. / Huang, Danial / Chang, K. P. / Su, H. S. / Ku, Harry et al. | 2016
- 460
-
Process development and optimization for high-aspect ratio through-silicon via (TSV) etchGopalakrishnan, Kumarapuram / Peddaiahgari, Anurag / Smith, Daniel / Zhang, Dingyou / England, Luke et al. | 2016
- 466
-
Non-conductive film underfill for 3D integration of 20 pm-thick LSI wafers with fine Cu-TSVsMurugesan, M. / Bea, J. C. / Koyanagi, M. / Ito, Y. / Fukushima, T. / Tanaka, T. et al. | 2016
- 472
-
Advanced detection method for polymer residues on semiconductor substrates: 3D/TSV/interposer: Through silicon via and packagingRichter, H. / Pfitzner, L. / Pfeffer, M. / Bauer, A. / Siegert, J. / Bodner, T. et al. | 2016