Compact class-AB follower for wideband closed loop line drivers (English)
- New search for: Gascon, D.
- New search for: Sanuy, A.
- New search for: Sieiro, J.
- New search for: Gascon, D.
- New search for: Sanuy, A.
- New search for: Sieiro, J.
In:
2012 19th IEEE International Conference on Electronics, Circuits, and Systems (ICECS 2012)
;
101-104
;
2012
- Conference paper / Electronic Resource
-
Title:Compact class-AB follower for wideband closed loop line drivers
-
Contributors:
-
Published in:
-
Publisher:
- New search for: IEEE
-
Publication date:2012-12-01
-
Size:209179 byte
-
ISBN:
-
DOI:
-
Type of media:Conference paper
-
Type of material:Electronic Resource
-
Language:English
-
Source:
Table of contents conference proceedings
The tables of contents are generated automatically and are based on the data records of the individual contributions available in the index of the TIB portal. The display of the Tables of Contents may therefore be incomplete.
- 1
-
Keyword index| 2012
- 1
-
WelcomeRodriguez-Vazquez, Angel et al. | 2012
- 1
-
Author index| 2012
- 1
-
Table of contents| 2012
- 1
-
A low power variable GBW opamp from 60MHz to 2GHz for multi-standard receiversAtac, Aytac / Harder, Christian / Wunderlich, Ralf / Heinen, Stefan et al. | 2012
- 5
-
Low-voltage CMOS current feedback amplifierPisani, Victoria / Grech, Ivan / Casha, Owen / Gatt, Edward et al. | 2012
- 9
-
Optimization based on surrogate modeling for analog integrated circuitsYengui, Firas / Labrak, Lioua / Russo, Patrice / Frantz, Felipe / Abouchi, Nacer et al. | 2012
- 13
-
Web-based analog design using tradeoff chartsHamza, A. / Philip, A. / Ali, M. / Dessouky, M. / Kassem, M. et al. | 2012
- 17
-
Ultra-low voltage drain-bulk connected MOS transistors in weak and moderate inversionDimakos, Athanasios / Bucher, Matthias / Sharma, Rupendra Kumar / Chlis, Ilias et al. | 2012
- 21
-
Evaluating the influence of the bit error rate on the information of neural spike signalsBulach, Christoph / Bihr, Ulrich / Ortmanns, Maurits et al. | 2012
- 25
-
Towards an optimized wearable neuromodulation device for urinary incontinenceShiraz, Arsam N. / Demosthenous, Andreas / Vanhoestenberghe, Anne et al. | 2012
- 29
-
Towards a closed-loop transmitter system with integrated class-D amplifier for coupling-insensitive powering of implantsValente, Virgilio / Eder, Clemens / Demosthenous, Andreas / Donaldson, Nick et al. | 2012
- 33
-
Multi-application electrical stimulator architecture dedicated to waveform control by electrode-tissue impedance spectra monitoringDupont, F. / Condemine, C. / Beche, J-F. / Belleville, M. et al. | 2012
- 37
-
Selection of wavelet-bands for neural network discrimination of Parkinsonian tremor from essential tremorHossen, Abdulnasir et al. | 2012
- 41
-
Hardware-efficient matrix inversion algorithm for complex adaptive systemsRosado, Alfredo / Iakymchuk, Taras / Bataller, Manuel / Wegrzyn, Marek et al. | 2012
- 45
-
Implementation of a new adaptive algorithm using fuzzy cost function and robust to impulsive noiseIakymchuk, Taras / Rosado, Alfredo / Soria-Olivas, Emilio / Bataller, Manuel et al. | 2012
- 49
-
RADS converter: An approach to Analog to Information conversionHaboba, Javier / Rovatti, Riccardo / Setti, Gianluca et al. | 2012
- 53
-
High-speed compressed sensing reconstruction on FPGA using OMP and AMPBai, Lin / Maechler, Patrick / Muehlberghuber, Michael / Kaeslin, Hubert et al. | 2012
- 57
-
A linearity enhancement technique and its application to CMOS wideband low-noise amplifiersMasnadi Shirazi, Amir Hossein / Rashtian, Hooman / Mirabbasi, Shahriar et al. | 2012
- 61
-
A 7GHz wideband self-correcting quadrature VCOArai, Tomoyuki / Hajimiri, Ali et al. | 2012
- 65
-
Design methodology and integration of a 1.8GHz outphasing power amplifier for mobile terminalsTalebi Amiri, Omid / Koukab, Adil et al. | 2012
- 69
-
4.0-5.5 GHz tunable power splitter RFIC using active inductorsZheng, You / Saavedra, Carlos E. et al. | 2012
- 73
-
Design of ADPLL system for WiMAX applications in 40-nm CMOSJiang, Wenlong / Tavakol, Armin / Effendrik, Popong / van de Gevel, Marcel / Verwaal, Frank / Staszewski, R. Bogdan et al. | 2012
- 77
-
A soft IP core generating SoCs for the efficient stochastic simulation of large Biomolecular Networks using FPGAsHazapis, Orsalia Georgia / Logaras, Evangelos / Manolakos, Elias S. et al. | 2012
- 81
-
Signal processing for deep-sea observatories with reconfigurable hardwareManolopoulos, K. / Belias, A. / Georgis, G. / Reisis, D. / Anasontzis, E.G. et al. | 2012
- 85
-
FPGA-based path-planning of high mobility rover for future planetary missionsLentaris, G. / Diamantopoulos, D. / Stamoulias, G. / Siozios, K. / Soudris, D. / Aviles Rodrigalvarez, M. et al. | 2012
- 89
-
Hardware design and verification techniques for Giga-bit Forward-Error Correction systems on FPGAsMahdi, A. / Sakellariou, P. / Kanistras, N. / Tsatsaragkos, I. / Paliouras, V. et al. | 2012
- 93
-
FPGA based cellular automata for environmental modelingVourkas, Ioannis / Sirakoulis, Georgios Ch. et al. | 2012
- 97
-
A 6.66-kHz, 940-nW, 56ppm/°C, fully on-chip PVT variation tolerant CMOS relaxation oscillatorTsubaki, Keishi / Hirose, Tetsuya / Osaki, Yuji / Shiga, Seiichiro / Kuroki, Nobutaka / Numa, Masahiro et al. | 2012
- 101
-
Compact class-AB follower for wideband closed loop line driversGascon, D. / Sanuy, A. / Sieiro, J. et al. | 2012
- 105
-
A low-distortion switched-source-follower track-and-hold circuitMoriyama, Akinori / Taniyama, Satoshi / Waho, Takao et al. | 2012
- 109
-
A 2.5-GS/s 62dB THD SiGe Track-and-Hold Amplifier with feedthrough cancellation techniqueCascella, Damiano / Cannone, Francesco / Avitabile, Gianfranco / Coviello, Giuseppe et al. | 2012
- 113
-
A CMOS track-and-hold circuit with beyond 30 GHz input bandwidthSedighi, Behnam / Huynh, Anh T. / Skafidas, Efstratios et al. | 2012
- 117
-
All-Digital A/D converter TAD for sensor interface over wide temperature rangesWatanabe, Takamoto / Isomura, Hirofumi / Terasawa, Tomohito et al. | 2012
- 121
-
A readout circuit implementation to reduce the flicker noise in MEMS electrothermal sensorsMohammadi, A. / Yuce, M. R. / Moheimani, S. O. R. et al. | 2012
- 125
-
In Pixel Implementation of autoadaptative integration timeAbbass, Hassan / Amhaz, Hawraa / Sicard, Gilles / Alleysson, David et al. | 2012
- 129
-
Design and implementation of a neurocomputing ASIP for environmental monitoring in WSNRust, Jochen / Paul, Steffen et al. | 2012
- 133
-
A system-proof-of-concept for remote measurement applicationsMailand, Marko / Getzlaff, Stefan / DeHennis, Andrew et al. | 2012
- 137
-
FPGA implementation of simple digital signal processorButorac, Marko / Vucic, Mladen et al. | 2012
- 141
-
Improving palmprint identification by combining multiple classifiers and using gabor filterMeraoumia, Abdallah / Chitroub, Salim / Bouridane, Ahmed et al. | 2012
- 145
-
Protein alignment HW/SW optimizationsUrgese, G. / Graziano, M. / Vacca, M. / Awais, M. / Frache, S. / Zamboni, M. et al. | 2012
- 149
-
Parallel scaling-free and area-time efficient CORDIC algorithmCauso, Matteo / An, Ting / Alves de Barros Naviner, Lirida et al. | 2012
- 153
-
A VLSI architecture for multiple antenna eigenvalue-based spectrum sensingSafavi, Seyede Mahya / Shabany, Mahdi et al. | 2012
- 157
-
A 1-mW current reuse quadrature RF front-end for GPS L1 band in 0.18µm CMOSJalili, Hossein / Fotowat-Ahmady, Ali / Jenabi, Mahta et al. | 2012
- 161
-
A power-scalable RF CMOS receiver for 2.4 GHz Wireless Sensor Network applicationsGhosal, Kaushik / Anand, Tejasvi / Chaturvedi, Vikram / Amrutur, Bharadwaj et al. | 2012
- 165
-
A 20 Mb/s 0.084 nJ/bit ISM-band transmitter dedicated to medical sensor networksMoradi, Arash / Sawan, Mohamad et al. | 2012
- 169
-
Analysis and characterization of mismatches in outphasing transmitterKulkarni, Shailesh / Zhao, Dixian / Reynaert, Patrick et al. | 2012
- 173
-
A 60GHz class F-E power VCO with vector-modulator feedback in 65nm CMOS technologyDrean, Sophie / Martin, Nicolas / Deltimple, Nathalie / Kerherve, Eric / Martineau, Baudouin / Belot, Didier et al. | 2012
- 177
-
A low-power CMOS RF power detectorSakphrom, Siraporn / Thanachayanont, Apinunt et al. | 2012
- 181
-
Millimeter-wave high-Q active inductor in 65nm CMOSPepe, Domenico / Zito, Domenico et al. | 2012
- 185
-
Windowed phase comparator for an 80Gbit/s CDRBeraud-Sudreau, Q. / Mazouffre, O. / Pignol, M. / Baguena, L. / Neveu, C. / Begueret, J-B. / Taris, T. et al. | 2012
- 189
-
A novel multi-step C-2C DAC architectureAbedinkhan, Mazyar / Sodagar, Amir M. / Mohammadi, Reza / Adl, Payman et al. | 2012
- 193
-
A CMOS 0.13µm low power front-end for GEM detectorsCostantini, A. / Pezzotta, A. / Baschirotto, A. / De Matteis, M. / D'Amico, S. / Murtas, F. / Gorini, G. et al. | 2012
- 197
-
All-digital A/D converter TAD for high-resolution and low-power sensor/RF interfaceWatanabe, Takamoto / Terasawa, Tomohito et al. | 2012
- 201
-
Temperature considerations on Hall Effect sensors current-related sensitivity behaviourPaun, Maria-Alexandra / Sallese, Jean-Michel / Kayal, Maher et al. | 2012
- 205
-
A tri-mode event-based vision sensor with an embedded wireless transmitterLenero-Bardallo, Juan A. / Tang, Wei / Kim, Dongsoo / Park, Joon Hyuk / Culurciello, Eugenio et al. | 2012
- 209
-
Improved high precision optical angle measurement system with no interference of light gradients and mismatchOehm, Jurgen / Koch, Christian / Stoychev, Ivan / Gornik, Andreas et al. | 2012
- 213
-
A 10Gb/s inductorless push pull current mirror transimpedance amplifierHassan, Mohammed / Zimmermann, Horst et al. | 2012
- 217
-
Performance evaluation for FPGA-based processing of tree-like structuresSklyarov, Valery / Skliarova, Iouliia / Mihhailov, Dmitri / Sudnitson, Alexander et al. | 2012
- 221
-
FPGA implementation of very high radix square root with prescalingAmaricai, Alexandru / Boncalo, Oana et al. | 2012
- 225
-
Performance evaluation of RAM-based implementation of Finite State Machines in FPGAsSenhadji-Navarro, R. / Garcia-Vargas, I. / Guisado, J.L. et al. | 2012
- 229
-
FPGA-based autonomous parking of a car-like robot using Fuzzy Logic ControlScicluna, N. / Gatt, E. / Casha, O. / Grech, I. / Micallef, J. et al. | 2012
- 233
-
A generic FPGA emulation frameworkMoraes, Fernando / Moreira, Matheus / Lucas, Carlo / Correa, Dairan / Cardoso, Douglas / Magnaguagno, Mauricio / Castilhos, Guilherme / Calazans, Ney et al. | 2012
- 237
-
Design of a 80 Gbit/s SiGe BiCMOS fully differential input buffer for serial electrical communicationDe Keulenaer, Timothy / Ban, Yu / Li, Zhisheng / Bauwelinck, Johan et al. | 2012
- 240
-
A power efficient 3-Gbits/s 1.8V PMOS-based LVDS output driverMarar, Hazem W. / Abugharbieh, Khaldoon / Al-Tamimi, Abdel-Karim et al. | 2012
- 244
-
Accessible approach to wideband matchingLehtovuori, Anu / Valkonen, Risto / Valtonen, Martti et al. | 2012
- 248
-
A generalized graphical model to specify A/D resolution from receiver front-endWang, Shenjie / Dehollain, Catherine et al. | 2012
- 252
-
Lumped-element-based single/dual-passband analog filters using signal-interference principlesLoeches-Sanchez, Raul / Gomez-Garcia, Roberto / Jarry, Bernard / Lintignat, Julien / Barelaud, Bruno et al. | 2012
- 256
-
Self-biased input common-mode generation for improving dynamic range and yield in inverter-based filtersGines, A. J. / Villegas, A. / Peralias, E. / Rueda, A. et al. | 2012
- 260
-
A 1.25mW 3rd-order Active-Gm-RC 250MHz-bandwidth analog filter based on power-stability optimizationDe Matteis, M. / D'Amico, S. / Costantini, A. / Pezzotta, A. / Baschirotto, A. et al. | 2012
- 264
-
Dynamic range improvement of new leap-frog filter using numerical optimizationJurisic, Drazen / Mijat, Neven / Moschytz, George S. et al. | 2012
- 268
-
A fourth order CMOS band pass filter for PIR sensorsDomenech-Asensi, G. / Martinez-Viviente, F. / Illade-Quinteiro, J. / Zapata-Perez, J. / Ruiz-Merino, R. / Lopez-Alcantud, J.A. / Martinez-Alajarin, J. / Fernandez-Luque, F. / Carrillo, J.M. / Dominguez, M.A. et al. | 2012
- 272
-
Accurate estimation of analog test metrics with extreme circuitsBeznia, Kamel / Bounceur, Ahcene / Abdallah, Louay / Huang, Ke / Mir, Salvador / Euler, Reinhardt et al. | 2012
- 276
-
Single pass temperature calibration of the ASIC on a general purpose ATETrontelj, Janez / Smid, Blaz et al. | 2012
- 280
-
A template for the construction of efficient checkers with full verification guaranteesFreitas, Leandro S. / Andrade, Gabriel A. G. / dos Santos, Luiz C. V. et al. | 2012
- 284
-
A formal framework for testing with assertion checkers in mixed-signal simulationPierre, Laurence et al. | 2012
- 288
-
Design and characterization of a QLUT in a standard CMOS processBrito, Diogo / Fernandes, Jorge / Flores, Paulo / Monteiro, Jose et al. | 2012
- 292
-
Dual-edge triggered sense amplifier flip-flop utilizing an improved scheme to reduce area, power, and complexityEsmaeili, S. E. / Islam, R. / Al-Khalili, A. J. / Cowan, G. E. R. et al. | 2012
- 296
-
Maximum delay variation temperature-aware standard cell designPons, Marc / Nagel, Jean-Luc / Piguet, Christian et al. | 2012
- 300
-
A low complexity architecture for the cell search applied to the LTE systemsGolnari, Ameneh / Sharifan, Golnoosh / Amini, Yalda / Shabany, Mahdi et al. | 2012
- 304
-
Digitizing The Yuan Tseh Lee Array for Microwave Background Anisotropy by 5Gsps ADC boardsJiang, Homin / Liu, Howard / Guzzino, Kim / Kubo, Derek / Li, Chao-Te / Chang, Ray et al. | 2012
- 308
-
PMEPR reduction for OFCDM using SLM and PTSSyed M. Zafi S. Shah, / Umrani, A. W. / Memon, Aftab A. / M. Z., Syed et al. | 2012
- 312
-
Adaptive slope and threshold companding technique for PAPR reduction in OFDM systemsYenamandra, Vivek / Lei, Feiran / Al-Araji, Saleh / Ali, Nazar / Ismail, Mohammed et al. | 2012
- 316
-
Selective channelization on an SDR platform for LTE-a carrier aggregationDiaz, Isael / Torrea-Duran, Rodolfo / Pollin, Sofie / Van der Perre, Liesbet / Owall, Viktor et al. | 2012
- 320
-
A novel implementation of sequential output based parallel processing - orthogonal wavelet division multiplexing for DAS on SDR platformMahapatra, Chinmaya / Ramakrishnan, Ashwin / Stouraitis, Thanos / Leung, Victor C.M. et al. | 2012
- 324
-
Mixed signal SIMD cellular processor array vision chip operating at 30,000 fpsCarey, Stephen J. / Barr, David R.W. / Wang, Bin / Lopich, Alexey / Dudek, Piotr et al. | 2012
- 328
-
Bottom-up visual attention model based on FPGABarranco, Francisco / Diaz, Javier / Pino, Begona / Ros, Eduardo et al. | 2012
- 332
-
CMOS SPADs selection, modeling and characterization towards image sensors implementationMoreno Garcia, Manuel / Guerra Vinuesa, O scar / del Rio Fernandez, Rocio / Perez Verdu, Belen / Rodriguez Vazquez, Angel et al. | 2012
- 336
-
Embedded low-power low-cost Camera Sensor based on FPGA and its applications in mobile robotsAlbo-Canals, Jordi / Ortega, Santiago / Perdices, Sergi / Badalov, Alexey / Vilasis-Cardona, Xavier et al. | 2012
- 340
-
High Dynamic Range image sensor with self adapting integration time in 3D technologyGuezzi-Messaoud, Fadoua / Dupret, Antoine / Peizerat, Arnaud / Blanchard, Yves et al. | 2012
- 344
-
A/D conversion of the battery voltage in advanced CMOS technologiesZamprogno, Marco / Minuti, Alberto / Girardi, Francesca / Nicollini, Germano et al. | 2012
- 348
-
On the design of a 2-2-0 MASH delta-sigma-pipeline modulatorMohammadi, Reza / Shamsi, Hossein / Abedinkhan, Mazyar et al. | 2012
- 352
-
Analysis of VCO based noise shaping ADCs linearized by PWM modulationHernandez, Luis / Prefasi, Enrique / Paton, Susana / Rombouts, Pieter et al. | 2012
- 356
-
Design of an undersampled BP ΣΔ modulator using LC and time-interleaved resonatorsBeilleau, N. / Bourguet, V. / Rangel de Sousa, F. et al. | 2012
- 360
-
Incremental-ΣΔ-ADCs with dynamic conversion length adaptionUhlig, Johannes / Schuffny, Rene et al. | 2012
- 364
-
Interpolation filter design for hearing-aid audio class-D output stage applicationPracny, Peter / Llimos Muntal, Pere / Bruun, Erik et al. | 2012
- 368
-
Dual data pulse width modulator for wireless Simultaneous Measurement of Redox Potential and Temperature using a Single RFID ChipKim, Boram / Nakazato, Kazuo et al. | 2012
- 372
-
The wireless system for EGG signal acquisitionKomorowski, Dariusz / Pietraszek, Stanislaw / Grzechca, Damian et al. | 2012
- 376
-
A high dynamic range wideband CMOS phase angle detector for bioimpedance spectroscopyAusin, Jose L. / Ramos, J. / Duque-Carrillo, J. F. / Torelli, Guido et al. | 2012
- 380
-
Hardware-in-the-loop simulations of circuit architectures for the computation of exact and approximate explicit MPC control functionsOliveri, Alberto / Storace, Marco et al. | 2012
- 384
-
VLSI Implementation of digital frequency sensors as hardware countermeasureJimenez, R. / Feria, G. / Gomez Galan, J.A. / Gomez-Bravo, F. / Sanchez, M. et al. | 2012
- 388
-
ASIC-in-the-loop methodology for verification of piecewise affine controllersMartinez-Rodriguez, M.C. / Brox, P. / Castro, J. / Tena, E. / Acosta, A. J. / Baturone, I. et al. | 2012
- 392
-
Reducing bit flipping problems in SRAM physical unclonable functions for chip identificationEiroa, S. / Castro, J. / Martinez-Rodriguez, M. C. / Tena, E. / Brox, P. / Baturone, I. et al. | 2012
- 396
-
Low energy high speed reed-solomon decoder using two parallel modified evaluator Inversionless Berlekamp-MasseyAhmed, Hazem A. / Salah, Hamed / Elshabrawy, Tallal / Fahmy, Hossam A. H. et al. | 2012
- 400
-
High speed low complexity radix-16 Max-Log-MAP SISO decoderSanchez, Oscar / Jegoy, Christophe / Jezequel, Michel / Saouter, Yannick et al. | 2012
- 404
-
High-throughput FPGA-based emulator for structured LDPC codesAngarita, Fabian / Torres, Vicente / Perez-Pascual, Asuncion / Valls, Javier et al. | 2012
- 408
-
Fully-parallel LUT-based (2048,1723) LDPC code decoder for FPGATorres, V. / Perez-Pascual, A. / Sansaloni, T. / Valls, J. et al. | 2012
- 412
-
Decoder for an enhanced serial generalized bit flipping algorithmGarcia-Herrero, Francisco / Canet, Maria Jose / Valls, Javier et al. | 2012
- 416
-
Dynamic range improvement in 2nd-order low-pass multibit ΣΔ modulatorsBarbieri, Andrea / Pernici, Sergio / Nicollini, Germano et al. | 2012
- 420
-
Performance tuning of multi-bit continuous time ΣΔ-modulators using a switched system modelZorn, Christoph / Bruckner, Timon / Ortmanns, Maurits / Mathis, Wolfgang et al. | 2012
- 424
-
Analysis of exponentially decaying pulse shape DACs in continuous-time sigma-delta modulatorsTao, Sha / Garcia, Julian / Rodriguez, Saul / Rusu, Ana et al. | 2012
- 428
-
Joint estimation of filter nonidealities in continuous-time sigma-delta modulators by using an unscented Kalman filterLorenz, Matthias / Maurer, Michael / Manoli, Yiannos / Ortmanns, Maurits et al. | 2012
- 432
-
Discrete-time simulation of arbitrary digital/analog converter waveforms in continuous-time sigma-delta modulatorsBruckner, Timon / Kiebler, Martin / Zorn, Christoph / Mathis, Wolfgang / Ortmanns, Maurits et al. | 2012
- 436
-
CBSC-based pipelined analog-to-digital converters: Power dissipation bound analysisZamani, Majid / Eder, Clemens / Demosthenous, Andreas et al. | 2012
- 440
-
Peak power estimation using activity measured on emulatorBerthet, Christian / Georgelin, Philippe / Ntyame, Janvier / Raffin, Mathieu et al. | 2012
- 444
-
A dual threshold voltage technique for glitch minimizationSlimani, Mariem / Matherat, Philippe / Mathieu, Yves et al. | 2012
- 448
-
A 100-fJ/cycle sub-VT decimation filter chain in 65 nm CMOSSherazi, M. Yasser / Nilsson, Peter / Sjoland, Henrik / Rodrigues, Joachim Neves et al. | 2012
- 452
-
Low-power two's-complement multiplication based on selective activationSakellariou, P. / Paliouras, V. et al. | 2012
- 456
-
Efficient optimization methodology for CT functions based on a modified bayesian kriging approachTugui, Catalin-Adrian / Benassi, Romain / Apostol, Stefan / Benabes, Philippe et al. | 2012
- 460
-
An efficient solution space for floorplan of 3D-LSITezuka, Hiroshi / Fujiyoshi, Kunihiro et al. | 2012
- 464
-
Fast floorplanning for fixed-outline and nonrectangular regionsAhmed, Mohammad A. / Pinge, Shantesh / Chrzanowska-Jeske, Malgorzata et al. | 2012
- 468
-
Lagrangian relaxation-based Discrete Gate Sizing for leakage power minimizationdos S. Livramento, Vinicius / Guth, Chrystian / Guntzel, Jose Luis / Johann, Marcelo O. et al. | 2012
- 472
-
Finding the Hamiltonian circuits in an undirected graph using the mesh-links incidenceOnete, Cristian E. / Onete, Maria Cristina C. et al. | 2012
- 476
-
Improved Linearization of a high power amplifier to reduce spectral distortions near the saturation areaBrandon, M. / Ariaudo, M. / Traverso, S. / Bouvier, J. / Gautier, J.L. / Fijalkow, I. et al. | 2012
- 480
-
Bifurcation diagrams in MOS-NDR frequency divider circuitsNunez, Juan / Avedillo, Maria J. / Quintana, Jose M. et al. | 2012
- 484
-
Nonlinear harmonic analysis of multistage amplifiersBuonomo, Antonio / Lo Schiavo, Alessandro et al. | 2012
- 488
-
A “divide-by-odd number” direct injection CMOS LC injection-locked frequency dividerAwan, M. Asfandyar / Asghar, Malik Summair / Kennedy, Michael Peter et al. | 2012
- 492
-
Design for linearizability of GaN based multi-carrier Doherty power amplifier through bias optimizationHammi, Oualid / Jung, Sung-Chan / Ghannouchi, Fadhel M. et al. | 2012
- 496
-
A 4-bit 1.5GSps 4.2mW comparator-based binary search ADC in 90nmRabuske, Taimur / Rabuske, Fabio / Fernandes, Jorge / Rodrigues, Cesar et al. | 2012
- 500
-
A 749nW 1MSps 8-bit SAR ADC at 0.5V employing boosted switchesRabuske, Taimur / Fernandes, Jorge / Nooshabadi, Saeid / Rodrigues, Cesar / Rabuske, Fabio et al. | 2012
- 504
-
A low-power fully differential cyclic 9-bit ADCBako, Niko / Baric, Adrijan et al. | 2012
- 508
-
Design of hybrid resistive-capacitive DAC for SAR A/D convertersSedighi, Behnam / Huynh, Anh T. / Skafidas, Efstratios / Micusik, Daniel et al. | 2012
- 512
-
A 11b 5.1µW multi-slope ADC with a TDC using multi-phase clock signalsKim, Kisu / Ikebe, Masayuki / Motohisa, Junichi / Sano, Eiichi et al. | 2012
- 516
-
An ultra-low power li-ion battery charger for micro-power solar energy harvesting applicationsKhosro Pour, Naser / Facchin, Stefano / Krummenacher, Francois / Kayal, Maher et al. | 2012
- 520
-
A passive CMOS rectifier with leakage current control for medical implantsGhanad, Mehrdad A. / Dehollain, Catherine et al. | 2012
- 524
-
Design comparison of low-power rectifiers dedicated to RF energy harvestingKarolak, Dean / Taris, Thierry / Deval, Yann / Begueret, Jean-Baptiste / Mariano, Andre et al. | 2012
- 528
-
A process-compatible passive RFID tag's digital design for subthreshold operationShi, Weiwei / Choy, Chiu-Sing et al. | 2012
- 532
-
Design and analysis of multi-core homogeneous systems for energy harvesting applicationsSrivastav, Meeta / Nazhandali, Leyla et al. | 2012
- 536
-
On AOP techniques for C++-based HW/SW component implementationMuck, Tiago Rogerio / Frohlich, Antonio Augusto et al. | 2012
- 540
-
An RTL method for hiding clock domain crossing latencyTarawneh, Ghaith / Yakovlev, Alex et al. | 2012
- 544
-
Enhancing performance of MPSoCs through distributed resource managementMandelli, Marcelo / Castilhos, Guilherme M. / Moraes, Fernando G. et al. | 2012
- 548
-
Evaluation of adaptive management techniques in NoC-Based MPSoCsMoraes, Fernando G. / Carara, Everton A. / Ruaro, Marcelo / Madalozzo, Guilherme A. et al. | 2012
- 552
-
A redundant wire addition method for Patchable AcceleratorWakizaka, Masayuki / Yoshida, Hiroaki / Hara-Azumi, Yuko / Yamashita, Shigeru et al. | 2012
- 556
-
Hybrid multiple constant multiplication for FPGAsKumm, Martin / Zipf, Peter et al. | 2012
- 560
-
Scene-based noise reduction on a smart cameraHamdi, Faouzi / Toczek, Tomasz / Heyrman, Barthelemy / Ginhac, Dominique et al. | 2012
- 564
-
Dynamic tree-depth adjustment for low power HEVC encodersCorrea, Guilherme / Assuncao, Pedro / da Silva Cruz, Luis A. / Agostini, Luciano et al. | 2012
- 568
-
Fast HEVC intra mode decision based on dominant edge evaluation and tree structure dependenciesda Silva, Thaisa Leal / da Silva Cruz, Luis A. / Agostini, Luciano V. et al. | 2012
- 572
-
A high quality hardware friendly motion estimation algorithm focusing in HD videosDall'Oglio, Pargles / Cristani, Cassio / Porto, Marcelo / Agostini, Luciano et al. | 2012
- 576
-
A telemetry operated vestibular prosthesisCirmirakis, Dominik / Jiang, Dai / Demosthenous, Andreas / Donaldson, Nick / Perkins, Timothy et al. | 2012
- 579
-
Model-based design for selecting fingerprint recognition algorithms for embedded systemsArjona, Rosario / Baturone, Iluminada et al. | 2012
- 583
-
Electrical characterization of a C-Element with LiChEnMoreira, Matheus T. / Calazans, Ney L. V. et al. | 2012
- 586
-
Control and acquisition system for a High Dynamic Range CMOS Image SensorVargas-Sierra, S. / Linan-Cembrano, G. / Rodriguez-Vazquez, A. et al. | 2012
- 590
-
Long-term pulse stimulation and recording in an accelerated neuromorphic systemThanasoulis, V. / Partzsch, J. / Vogginger, B. / Mayr, C. / Schuffny, R. et al. | 2012
- 593
-
Real-time FPGA connected component labeling systemCalvo-Gallego, Elisa / Cabrera Aldaya, Alejandro / Brox, Piedad / Sanchez-Solano, Santiago et al. | 2012
- 597
-
A 500 MHz to 6 GHz frequency synthesizer architecture for cognitive radio applicationsEl alaoui Ismaili, Zakaria / Nabki, Frederic / Ajib, Wessam / Boukadoum, Mounir et al. | 2012
- 601
-
Understanding large swing and low swing operation in DyCML gatesBorges, Tiago / Martins, Ernesto / Alves, Luis Nero et al. | 2012
- 605
-
A fully complementary and fully differential self-biased asynchronous CMOS comparatorMilovanovic, Vladimir / Zimmermann, Horst et al. | 2012
- 609
-
Channel mismatch background calibration for pipelined time interleaved ADCsMrassy, Armia / Dessouky, Mohamed et al. | 2012
- 613
-
A low-power single-slope analog-to-digital converter with digital PVT calibrationOsaki, Yuji / Hirose, Tetsuya / Tsubaki, Keishi / Kuroki, Nobutaka / Numa, Masahiro et al. | 2012
- 617
-
SkyFlash EC project: Architecture for a 1Mbit S-Flash for space applicationsArbat, Anna / Calligaro, Cristiano / Dayan, Vladislav / Pikhay, Evgeny / Roizin, Yakov et al. | 2012
- 621
-
A practical method for modeling amplifier nonlinearitiesAli, Shafqat / Tanner, Steve / Farine, Pierre Andre et al. | 2012
- 625
-
Performances and trends in millimeter-wave CMOS voltage controlled oscillatorsVoicu, Marius / Pepe, Domenico / Zito, Domenico et al. | 2012
- 629
-
A multi-valued 350nm CMOS voltage referenceLourenco, Nuno / Alves, Luis Nero / Cura, Jose Luis et al. | 2012
- 633
-
Layout stress and proximity aware analog design methodologyZein, A. / Tarek, A. / Bahr, M. / Dessouky, M. / Eissa, H. / Ramadan, A. / Tosson, A. et al. | 2012
- 637
-
A 1.1 V 82.3dB audio ΔΣ ADC using asynchronous SAR type quantizerPark, Young-Min / Kwon, Tae-In / Cho, Kang-Il / Kwak, Yong-Sik / Ahn, Gil-Cho / Shin, Chang-Seob / Lee, Myung-Jin / You, Seung-Bin / Park, Ho-Jin et al. | 2012
- 649
-
A mixed-signal front-end ASIC for EEG acquisition systemZhou, Haiyan / Voelker, Matthias / Hauer, Johann et al. | 2012
- 653
-
LC tank full bridge control for large coil variationsMerino, Jose Luis / Dehollain, Catherine et al. | 2012
- 657
-
Efficient area and power multiplication part of FFT based on twiddle factor decompositionGhissoni, Sidinei / Costa, Eduardo / Monteiro, Jose / Reis, Ricardo et al. | 2012
- 661
-
Offset measurement method for accurate characterization of BTI-induced degradation in opampsMahato, Swaraj / De Wit, Pieter / Maricau, Elie / Gielen, Georges et al. | 2012
- 665
-
A study on MOSFET rectifiers with transistors operating in the weak inversion regionGoncalves, Hugo / Martins, Miguel / Fernandes, Jorge et al. | 2012
- 669
-
Return-to-One DIMS logic on 4-phase m-of-n asynchronous circuitsMoreira, Matheus T. / Guazzelli, Ricardo A. / Calazans, Ney L. V. et al. | 2012
- 673
-
An ultra-low power current reused CMOS low noise amplifier for x-band space applicationYasami, Saeid / Bayoumi, Magdy et al. | 2012
- 677
-
Utilization of multi-bit flip-flops for clock power reductionChen, Zhi-Wei / Yan, Jin-Tai et al. | 2012
- 681
-
Critical path minimized raster scan hardware architecture for computation of the Generalized Hough TransformSchumacher, Frank / Holzer, Markus / Greiner, Thomas et al. | 2012
- 685
-
Flip-flop design using novel pulse generation techniqueMoradi, Farshad / Wisland, Dag / Kargaard Madsen, Jens / Mahmoodi, Hamid et al. | 2012
- 689
-
Dedicated hardware implementation of a linear congruence solver in FPGABucek, Jiri / Kubalik, Pavel / Lorencz, Robert / Zahradnicky, Tomas et al. | 2012
- 693
-
Analog fault diagnosis and testing by inverse problem techniqueAhmed, R. F. / Radwan, A. G. / Madian, A. H. / Soliman, A. M. et al. | 2012
- 697
-
A non-coherent BPSK receiver with dual band filtering for implantable biomedical devicesWilkerson, Benjamin P. / Kang, Jin-Ku et al. | 2012
- 701
-
A new XOR-based Content Addressable Memory architectureFrontini, Luca / Shojaii, Seyedruhollah / Stabile, Alberto / Liberali, Valentino et al. | 2012
- 705
-
A low-complexity soft-decision decoding architecture for the binary extended Golay codeAdde, Patrick / Le Bidan, Raphael et al. | 2012
- 709
-
Fullwave-mode analysis of shielded microstrip discontinuities on anisotropic substratesMadani, Otmane / Tounsi, Mohamed Lamine / Yagoub, Mustapha C.E. et al. | 2012
- 713
-
Multiband integrated synthetic aperture radar (SAR) receiverAbu Bakar, Faizah / Holmberg, Jan / Nieminen, Tero / Nehal, Qaiser / Ukkonen, Pekka / Saari, Ville / Halonen, Kari / Aberg, Markku / Sundberg, Iiro et al. | 2012
- 717
-
Low-power area-efficient delay element with a wide delay rangeAl-Eryani, Jidan / Stanitzki, Alexander / Konrad, Karsten / Tavangaran, Nima / Bruckmann, Dieter / Kokozinski, Rainer et al. | 2012
- 721
-
Skin effect modeling in time domain for RF network on chipZerioul, Lounis / Bourdel, Emmanuelle / Ariaudo, Myriam et al. | 2012
- 725
-
Stochastic differential equations approach in the analysis of MTLs with randomly varied parametersBrancik, Lubomir / Kolarova, Edita et al. | 2012
- 729
-
A RF/DC current-mode detector for BiST and digital calibration of current-driven mixersLei, Feiran / Yenamandra, Vivek / Bibyk, Steven / Ismail, Mohammed et al. | 2012
- 733
-
A dual-axis bulk micromachined accelerometer with low cross-sensitivityAlfaifi, Ahmad / Nabki, Frederic / El-Gamal, Mourad N. et al. | 2012
- 737
-
TSV stress-aware performance and reliability analysisAli, Muhammad / Ahmed, Mohammad A. / Chrzanowska-Jeske, Malgorzata et al. | 2012
- 741
-
FFT implementation using QCAAwais, Muhammad / Vacca, Marco / Graziano, Mariagrazia / Masera, Guido et al. | 2012
- 745
-
Analysis of coupling capacitance between TSVs and metal interconnects in 3D-ICsSalah, Khaled et al. | 2012
- 749
-
The leafs scan-chain for test application time and scan power reductionChalkia, Maria / Tsiatouhas, Yiorgos et al. | 2012
- 753
-
Automatic selective hardening against soft errors: A cost-based and regularity-aware approachPagliarini, Samuel N. / Ben Dhia, Arwa / A. de B. Naviner, Lirida / Naviner, Jean-Francois et al. | 2012
- 757
-
Transient fault analysis of CORDIC processorAn, Ting / Causo, Matteo / Alves de Barros Naviner, Lirida / Matherat, Philippe et al. | 2012
- 761
-
A new fault-tolerant architecture for CLBs in SRAM-based FPGAsBen Dhia, Arwa / Naviner, Lirida / Matherat, Philippe et al. | 2012
- 765
-
A monitoring infrastructure for FPGA self-awareness and dynamic adaptationGomez Osuna, Carlos / Sanchez Marcos, Miguel Angel / Ituero, Pablo / Lopez-Vallejo, Marisa / López-Vallejo, Marisa et al. | 2012
- 769
-
Adaptive disparity map computation for stereoscopic video watermarkingChammem, Afef / Mitrea, Mihai / Preteux, Francoise et al. | 2012
- 773
-
Bayesian classification and artificial neural network methods for lung cancer early diagnosisTaher, Fatma / Werghi, Naoufel / Al-Ahmad, Hussain et al. | 2012
- 781
-
Sub-images based image hashing with non-negative factorizationPrungsinchai, Supakorn / Khelifi, Fouad / Bouridane, Ahmed et al. | 2012
- 785
-
A study on the HEVC performance over lossy networksOztas, Basak / Pourazad, Mahsa T. / Nasiopoulos, Panos / Leung, Victor C. M. et al. | 2012
- 789
-
0.4V low-power 60-GHz oscillator in 65nm CMOSPepe, Domenico / Zito, Domenico et al. | 2012
- 793
-
Complements on phase noise analysis and design of CMOS ring oscillatorsCronin, Timothy / Pepe, Domenico / Zito, Domenico et al. | 2012
- 797
-
A low-power all-digital PLL architecture based on phase predictionZhuang, Jingcheng / Staszewski, Robert Bogdan et al. | 2012
- 801
-
A dead-zone free and linearized digital PLLSamarah, Amer / Chan Carusone, Anthony et al. | 2012
- 805
-
Feasibility study including detector non-idealities of a 95-GHz CMOS SoC radiometer for passive imagingMereni, Lorenzo / Pepe, Domenico / Zito, Domenico et al. | 2012
- 809
-
Non-volatile memory circuits for FIMS and TAS writing techniques on magnetic tunnelling junctionsSilva, Victor / Vestias, Mario P. / Neto, Horacio C. / Fernandes, Jorge R. et al. | 2012
- 813
-
Validation and analysis of negative differential resistance of single-electron transistor with conductance modelChen, Xiaobao / Xing, Zuocheng / Sui, Bingcai et al. | 2012
- 817
-
Low-noise dual-channel current amplifier for DNA sensing with solid-state nanoporesCarminati, M. / Ferrari, G. / Sampietro, M. / Ivanov, A. P. / Albrecht, T. et al. | 2012
- 821
-
CMOS Active Column Sensor for biodetection applications based on Surface Plasmon ResonanceSalazar, Arnoldo / Camacho-Leon, Sergio / Martinez-Chapa, Sergio O. / Rossetto, Olivier et al. | 2012
- 825
-
Modeling and analysis of through silicon via: Electromagnetic and device simulation approachSalah, Khaled / El Rouby, Alaa / Ragai, Hani / Ismail, Yehea et al. | 2012
- 829
-
CEMS-PG: A parametrized algorithm for balanced partitioning and wakeup of power gated circuitsFarah, Salim N. / Bayoumi, Magdy A. et al. | 2012
- 833
-
Static read stability and write ability metrics in FinFET based SRAM considering read and write-assist circuitsJeong, Hanwool / Yang, Younghwi / Lee, Junha / Kim, Jisu / Jung, Seong-Ook et al. | 2012
- 837
-
Statistical leakage analysis using the deterministic modeling of cell leakage currentKim, Jae Hoon / Kim, Young Hwan et al. | 2012
- 841
-
Uncertainty in DLL deskewing schemesFigueiredo, Monica / Aguiar, Rui L. et al. | 2012
- 845
-
High-drive capability buffer for highly variable resistive loadsCovi, Erika / Cabrini, Alessandro / Torelli, Guido et al. | 2012
- 849
-
Should ΔΣ modulators used in AC motor drives be adapted to the mechanical load of the motor?Callegari, Sergio / Bizzarri, Federico et al. | 2012
- 853
-
Design of a low complexity S-box based on a piecewise linear chaotic mapYoshioka, Daisaburo et al. | 2012
- 857
-
On current control method for single-phase AC resistance spot weldingZhou, Kang / Cai, Lilong et al. | 2012
- 861
-
A brief analysis of the main SPICE models of the memristorAlbo-Canals, Jordi / Pazienza, Giovanni E. et al. | 2012
- 865
-
Field Programmable switched capacitor voltage converterLi, Chao / Cosp, Jordi / Martinez-Garcia, Herminio et al. | 2012
- 869
-
A reconfigurable buck-boost switched capacitor converter with adaptive gain and discrete frequency scaling controlGeorge, Libin / Lehmann, Torsten / Hamilton, Tara Julia et al. | 2012
- 873
-
Design of a capacitorless low-dropout voltage regulator with fast load regulation in 130nm CMOSSouza, Antonio David / Bampi, Sergio et al. | 2012
- 877
-
Dedicated FPGA communication architecture and design for a large-scale neuromorphic systemThanasoulis, V. / Partzsch, J. / Hartmann, S. / Mayr, C. / Schuffny, R. et al. | 2012
- 881
-
Towards AER VITE: Building spike gate signalPerez-Pena, Fernando / Morgado-Estevez, Arturo / Rioja-Del-Rio, Carlos / Linares-Barranco, Alejandro / Jimenez-Fernandez, Angel / Lopez-Coronado, Juan / Munoz-Lozano, Jose Luis et al. | 2012
- 885
-
Picosecond pulse generation with nonlinear transmission lines in 90-nm CMOS for mm-wave imaging applicationsIndirayanti, Paramartha / Volkaerts, Wouter / Reynaert, Patrick / Dehaene, Wim et al. | 2012
- 889
-
High level modeling of signal integrity in field bus communication with SystemC-AMSWang, Ruomin / Denoulet, Julien / Feruglio, Sylvain / Vallette, Farouk / Garda, Patrick et al. | 2012
- 893
-
IMOSIM: Exploration tool for Instruction Memory Organisations based on accurate cycle-level energy modellingArtes, Antonio / Ayala, Jose L. / Catthoor, Francky et al. | 2012
- 897
-
Power efficiency of digit level polynomial basis finite field multipliers in GF(2283)Namin, Shoaleh Hashemi / Wu, Huapeng / Ahmadi, Majid et al. | 2012
- 901
-
A high-throughput ECC architectureAmini, Esmaeil / Jeddi, Zahra / Bayoumi, Magdy et al. | 2012
- 905
-
Energy-efficient multi-task computing on MPSoCs: A case study from a memory perspectiveWestphal, Rafael / Guntzel, Jose Luis / Santos, Luiz C. V. et al. | 2012
- 909
-
Second-order TDTL with initialization processAl-Qutayri, M. A. / Al-Araji, S. R. / Jeedella, J. / Al-Ali, O. A. K. / Anani, N. A. et al. | 2012
- 913
-
Encoding sequence design for a reduced complexity time synchronization approach for OFDM systemsNasraoui, Leila / Atallah, Leila Najjar / Siala, Mohamed et al. | 2012
- 917
-
Test setup for error vector magnitude measurement on WLAN transceiversFialho, Vitor / Fortes, Fernando / Vieira, Manuela et al. | 2012
- 921
-
A hybrid algorithm for range estimation in RFID systemsThangarajah, K. / Rashizadeh, R. / Erfani, S. / Ahmadi, M. et al. | 2012
- 925
-
Discrete cosine transform Type-IV-based multicarrier modulators in frequency offset channelsAmo-Lopez, Pedro / Dominguez-Jimenez, Elena / Sansigre, Gabriela / Sanz de la Fuente, David / Cruz-Roldan, Fernando et al. | 2012
- 929
-
A CMOS pixel sensor with 4-bit column-parallel self-triggered ADC for the ILC vertex detectorZhang, L. / Morel, F. / Hu-Guo, Ch. / Himmi, A. / Dorokhov, A. / Hu, Y. et al. | 2012
- 933
-
A low noise high dynamic range analog front-end ASIC for the AGIPD XFEL detectorShi, Xintian / Dinapoli, Roberto / Greiffenberg, Dominic / Henrich, Beat / Mozzanica, Aldo / Schmitt, Bernd / Kruger, Hans / Graafsma, Heinz / Klyuev, Alexander / Marras, Alessandro et al. | 2012
- 937
-
Sense/drive architecture for CMOS-MEMS accelerometers with relaxation oscillator and TDCMichalik, Piotr / Madrenas, Jordi / Fernandez, Daniel et al. | 2012
- 941
-
Low power time-of-flight 3D imager system in standard CMOSKumar, Priyanka / Charbon, Edoardo / Staszewski, R. Bogdan / Borowski, A. et al. | 2012
- 945
-
A double-delta compensating technique for pulse-frequency modulation CMOS image sensorTsai, Tsung-Hsun / Hornsey, Richard et al. | 2012
- 949
-
Design of adaptive nano/CMOS neural architecturesSerrano-Gotarredona, T. / Linares-Barranco, B. et al. | 2012
- 953
-
Compact modeling for the transcapacitances of undoped or lightly doped nanoscale cylindrical surrounding gate MOSFETsFasarakis, N. / Tsormpatzoglou, A. / Tassis, D. H. / Papathanasiou, K. / Dimitriadis, C. A. / Ghibaudo, G. et al. | 2012
- 957
-
Estimating the starting point of conduction in nanoscale CMOS gatesTzagkas, Dimitrios / Nikolaidis, Spyridon / Rjoub, Abdoul et al. | 2012
- 961
-
Testing wireless transceivers' RF front-ends utilizing defect-oriented BIST techniquesDermetzoglou, L. / Liaperdos, J. / Arapoyanni, A. / Tsiatouhas, Y. et al. | 2012
- 965
-
Fast and accurate estimation of gain and unity-gain bandwidth of an OpAmpPicos, Rodrigo / Font-Rossello, Joan / Garcia-Moreno, Eugeni / Teruel, Antonio E. et al. | 2012
- 969
-
FIR fractional Hilbert transformers with raised-cosine magnitude responseMolnar, Goran / Vucic, Mladen et al. | 2012
- 973
-
Conflict free, parallel memory access for radix-2 FFT processorsPolychronakis, N. / Reisis, D. / Tsilis, E. / Zokas, I. et al. | 2012
- 977
-
An efficient 2-D jacobian iteration modeling for image interpolationKumar, Ayush / Agarwal, Nimisha / Bhadviya, Juhi / Tiwari, Anil Kumar et al. | 2012
- 981
-
A switching based adaptive image interpolation algorithmAgarwal, Nimisha / Kumar, Ayush / Bhadviya, Juhi / Tiwari, Anil Kumar et al. | 2012
- 985
-
A highly parallelized processor for face detection based on Haar-like featuresQin, Huabiao / Tian, Lianbing / Hu, Zongwei et al. | 2012