SuperNet: Multimode interconnect architecture for manycore chips (English)
- New search for: Bokhari, Haseeb
- New search for: Javaid, Haris
- New search for: Shafique, Muhammad
- New search for: Henkel, Jorg
- New search for: Parameswaran, Sri
- New search for: Bokhari, Haseeb
- New search for: Javaid, Haris
- New search for: Shafique, Muhammad
- New search for: Henkel, Jorg
- New search for: Parameswaran, Sri
In:
2015 52nd ACM/EDAC/IEEE Design Automation Conference (DAC)
;
1-6
;
2015
-
ISBN:
- Conference paper / Electronic Resource
-
Title:SuperNet: Multimode interconnect architecture for manycore chips
-
Contributors:Bokhari, Haseeb ( author ) / Javaid, Haris ( author ) / Shafique, Muhammad ( author ) / Henkel, Jorg ( author ) / Parameswaran, Sri ( author )
-
Published in:
-
Publisher:
- New search for: IEEE
-
Publication date:2015-06-01
-
Size:652972 byte
-
ISBN:
-
DOI:
-
Type of media:Conference paper
-
Type of material:Electronic Resource
-
Language:English
-
Source:
Table of contents conference proceedings
The tables of contents are generated automatically and are based on the data records of the individual contributions available in the index of the TIB portal. The display of the Tables of Contents may therefore be incomplete.
- 1
-
System simulation from operational dataWasicek, Armin / Lee, Edward A. / Kim, Hokeun / Greenberg, Lev / Iwai, Akihito / Akkaya, Ilge et al. | 2015
- 1
-
On-chip interconnection network for accelerator-rich architecturesCong, Jason / Gill, Michael / Hao, Yuchen / Reinman, Glenn / Bo Yuan, et al. | 2015
- 1
-
A reconfigurable analog substrate for highly efficient maximum flow computationLiu, Gai / Zhang, Zhiru et al. | 2015
- 1
-
Guidelines to design parity protected write-back L1 data cacheKo, Yohan / Jeyapaul, Reiley / Kim, Youngbin / Lee, Kyoungwoo / Shrivastava, Aviral et al. | 2015
- 1
-
Routing-architecture-aware analytical placement for heterogeneous FPGAsChen, Sheng-Yen / Chang, Yao-Wen et al. | 2015
- 1
-
3DIC benefit estimation and implementation guidance from 2DIC implementationChan, Wei-Ting J. / Du, Yang / Kahng, Andrew B. / Nath, Siddhartha / Samadi, Kambiz et al. | 2015
- 1
-
Verifying SystemC using stateful symbolic simulationHerdt, Vladimir / Le, Hoang M. / Drechsler, Rolf et al. | 2015
- 1
-
Introduction to stochastic computing and its challengesHayes, John P. et al. | 2015
- 1
-
Evaluation of BEOL design rule impacts using an optimal ILP-based detailed routerHan, Kwangsoo / Kahng, Andrew B. / Lee, Hyein et al. | 2015
- 1
-
An efficient shift invariant rasterization algorithm for all-angle mask patterns in ILTDing, Yixiao / Chu, Chris / Zhou, Xin et al. | 2015
- 1
-
What don't we know about CPS architectures?Wolf, Marilyn / Feron, Eric et al. | 2015
- 1
-
Design and verification for transportation system securityBowen Zheng, / Li, Wenchao / Deng, Peng / Gerardy, Leonard / Zhu, Qi / Shankar, Natarajan et al. | 2015
- 1
-
Detecting malicious modifications of data in third-party intellectual property coresRajendran, Jeyavijayan / Vedula, Vivekananda / Karri, Ramesh et al. | 2015
- 1
-
Randomness meets feedback: Stochastic implementation of logistic map dynamical systemZhiheng Wang, / Saraf, Naman / Bazargan, Kia / Scheel, Arnd et al. | 2015
- 1
-
Generation of close-to-functional broadside tests with equal primary input vectorsPomeranz, Irith et al. | 2015
- 1
-
Formal methods for semi-autonomous drivingSeshia, Sanjit A. / Sadigh, Dorsa / Sastry, S. Shankar et al. | 2015
- 1
-
An algorithmic framework for efficient large-scale circuit simulation using exponential integratorsZhuang, Hao / Yu, Wenjian / Ilgweon Kang, / Xinan Wang, / Cheng, Chung-Kuan et al. | 2015
- 1
-
Criticality-dependency-aware timing characterization and analysisYu-Ming Yang, / King Ho Tam, / Jiang, Iris Hui-Ru et al. | 2015
- 1
-
Adaptive compressed sensing architecture in wireless brain-computer interfaceAosen Wang, / Chen Song, / Zhanpeng Jin, / Wenyao Xu, et al. | 2015
- 1
-
A low power unsupervised spike sorting accelerator insensitive to clustering initialization in sub-optimal feature spaceZhewei Jiang, / Wang, Qi / Mingoo Seok, et al. | 2015
- 1
-
The SIMON and SPECK lightweight block ciphersBeaulieu, Ray / Treatman-Clark, Stefan / Shors, Douglas / Weeks, Bryan / Smith, Jason / Wingers, Louis et al. | 2015
- 1
-
Compiler directed automatic stack trimming for efficient non-volatile processorsQingan Li, / Mengying Zhao, / Jingtong Hu, / Liu, Yongpan / Yanxiang He, / Xue, Chun Jason et al. | 2015
- 1
-
Pushing multiple patterning in sub-10nm: Are we ready?Pan, David Z. / Liebmann, Lars / Bei Yu, / Xiaoqing Xu, / Yibo Lin, et al. | 2015
- 1
-
Table of contents| 2015
- 1
-
A model-based and simulation-assisted FMEDA approach for safety-relevant E/E systemsChaari, Moomen / Ecker, Wolfgang / Novello, Cristiano / Tabacaru, Bogdan-Andrei / Kruse, Thomas et al. | 2015
- 1
-
An EDA framework for large scale hybrid neuromorphic computing systemsWen, Wei / Wu, Chi-Ruo / Hu, Xiaofang / Liu, Beiye / Ho, Tsung-Yi / Li, Xin / Chen, Yiran et al. | 2015
- 1
-
MErging the Interface: Power, area and accuracy co-optimization for RRAM crossbar-based mixed-signal computing systemLi, Boxun / Lixue Xia, / Peng Gu, / Wang, Yu / Huazhong Yang, et al. | 2015
- 1
-
Jump test for metallic CNTs in CNFET-based SRAMXie, Feng / Liang, Xiaoyao / Xu, Qiang / Chakrabarty, Krishnendu / Jing, Naifeng / Li Jiang, et al. | 2015
- 1
-
Selective restore: An energy efficient read disturbance mitigation scheme for future STT-MRAMWang, Rujia / Jiang, Lei / Zhang, Youtao / Wang, Linzhang / Jun Yang, et al. | 2015
- 1
-
Security aware network controllers for next generation automotive embedded systemsShreejith, Shanker / Fahmy, Suhaib A. et al. | 2015
- 1
-
Domain Wall Memory based Digital Signal processors for area and energy-efficiencyChung, Jinil / Ramclam, Kenneth / Park, Jongsun / Ghosh, Swaroop et al. | 2015
- 1
-
RENO: A high-efficient reconfigurable neuromorphic computing accelerator designLiu, Xiaoxiao / Mao, Mengjie / Liu, Beiye / Li, Hai / Chen, Yiran / Li, Boxun / Yu Wang, / Hao Jiang, / Barnell, Mark / Qing Wu, et al. | 2015
- 1
-
Approximate computing and the quest for computing efficiencyVenkataramani, Swagath / Chakradhar, Srimat T. / Roy, Kaushik / Raghunathan, Anand et al. | 2015
- 1
-
Mask assignment and synthesis of DSA-MP hybrid lithography for sub-7nm contacts/viasBadr, Yasmine / Torres, Andres / Gupta, Puneet et al. | 2015
- 1
-
Exploit imbalanced cell writes to mitigate write disturbance in dense Phase Change MemoryWang, Rujia / Jiang, Lei / Zhang, Youtao / Wang, Linzhang / Yang, Jun et al. | 2015
- 1
-
Tier-partitioning for power delivery vs cooling tradeoff in 3D VLSI for mobile applicationsPanth, Shreepad / Samadi, Kambiz / Du, Yang / Lim, Sung Kyu et al. | 2015
- 1
-
Energy-efficient non-volatile TCAM search engine design using priority-decision in memory technology for DPIHsiang-Jen Tsai, / Keng-Hao Yang, / Yin-Chi Peng, / Chien-Chen Lin, / Ya-Han Tsao, / Meng-Fan Chang, / Tien-Fu Chen, et al. | 2015
- 1
-
ΣVP: Host-GPU multiplexing for efficient simulation of multiple embedded GPUs on virtual platformsJung, YoungHoon / Carloni, Luca P. et al. | 2015
- 1
-
A practical circuit fingerprinting method utilizing observability don't care conditionsDunbar, Carson / Gang Qu, et al. | 2015
- 1
-
Reliability-aware synthesis for flow-based microfluidic biochips by dynamic-device mappingTsun-Ming Tseng, / Bing Li, / Tsung-Yi Ho, / Schlichtmann, Ulf et al. | 2015
- 1
-
Integrated power management in IoT devices under wide dynamic ranges of operationGangopadhyay, Samantak / Nasir, Saad Bin / Raychowdhury, Arijit et al. | 2015
- 1
-
High-level synthesis of error detecting cores through low-cost modulo-3 shadow datapathsCampbell, Keith A. / Vissa, Pranay / Pan, David Z. / Chen, Deming et al. | 2015
- 1
-
Efficient multivariate moment estimation via Bayesian model fusion for analog and mixed-signal circuitsQicheng Huang, / Chenlei Fang, / Fan Yang, / Xuan Zeng, / Xin Li, et al. | 2015
- 1
-
Verifying inevitability of phase-locking in a charge pump phase lock loop using sum of squares programmingul Asad, Hafiz / Jones, Kevin D. et al. | 2015
- 1
-
Parallel circuit simulation using the direct method on a heterogeneous cloudHelal, Ahmed E. / Bayoumi, Amr M. / Hanafy, Yasser Y. et al. | 2015
- 1
-
PASS: Priority assignment of real-time tasks with dynamic suspending behavior under fixed-priority schedulingWen-Hung Huang, / Chen, Jian-Jia / Husheng Zhou, / Liu, Cong et al. | 2015
- 1
-
Efficient memory partitioning for parallel data access in multidimensional arraysChenyue Meng, / Shouyi Yin, / Peng Ouyang, / Leibo Liu, / Shaojun Wei, et al. | 2015
- 1
-
Physically aware High Level Synthesis design flowTatsuoka, Masato / Watanabe, Ryosuke / Otsuka, Tatsushi / Hasegawa, Takashi / Qiang Zhu, / Okamura, Ryosuke / Xingri Li, / Takabatake, Tsuyoshi et al. | 2015
- 1
-
Achieving power and reliability sign-off for automotive semiconductor designsKashyap, Ajay / Grimpen, Soenke / Sundaramoorthy, Shyam et al. | 2015
- 1
-
Designing time partitions for real-time hypervisor with sufficient temporal independenceBeckert, Matthias / Ernst, Rolf et al. | 2015
- 1
-
Layout optimization and template pattern verification for directed self-assembly (DSA)Xiao, Zigang / Daifeng Guo, / Wong, Martin D.F. / Yi, He / Tung, Maryann C. / Wong, H.-S. Philip et al. | 2015
- 1
-
Awards| 2015
- 1
-
Complementary communication path for energy efficient on-chip optical interconnectsLi, Hui / Le Beux, Sebastien / Thonnart, Yvain / O'Connor, Ian et al. | 2015
- 1
-
Interleaved multi-bank scratchpad memories: A probabilistic description of access conflictsTretter, Andreas / Kumar, Pratyush / Thiele, Lothar et al. | 2015
- 1
-
Battery lifetime-aware automotive climate control for Electric VehiclesVatanparvar, Korosh / Al Faruque, Mohammad Abdullah et al. | 2015
- 1
-
An analysis of accelerator coupling in heterogeneous architecturesCota, Emilio G. / Mantovani, Paolo / Di Guglielmo, Giuseppe / Carloni, Luca P. et al. | 2015
- 1
-
Trends in functional verification: A 2014 industry studyFoster, Harry D. et al. | 2015
- 1
-
Compositional modeling and analysis of automotive feature product linesKrishna, Shankara Narayanan / Narwane, Ganesh / Ramesh, S. / Trivedi, Ashutosh et al. | 2015
- 1
-
Models, abstractions, and architectures: The missing links in cyber-physical systemsBalaji, Bharathan / Al Faruque, Mohammad Abdullah / Dutt, Nikil / Gupta, Rajesh / Agarwal, Yuvraj et al. | 2015
- 1
-
A low latency generic accuracy configurable adderShafique, Muhammad / Ahmad, Waqas / Hafiz, Rehan / Henkel, Jorg et al. | 2015
- 1
-
Interconnect reliability modeling and analysis for multi-branch interconnect treesChen, Hai-Bao / Tan, Sheldon X.-D. / Sukharev, Valeriy / Huang, Xin / Kim, Taeyoung et al. | 2015
- 1
-
Understanding soft errors in uncore componentsCho, Hyungmin / Cher, Chen-Yong / Shepherd, Thomas / Mitra, Subhasish et al. | 2015
- 1
-
Optimizing stream program performance on CGRA-based systems?Hongsik Lee, / Dong Nguyen, / Lee, Jongeun et al. | 2015
- 1
-
Model-based testing of automotive software: Some challenges and solutionsPetrenko, Alexandre / Timo, Omer Nguena / Ramesh, S. et al. | 2015
- 1
-
Scalable sequence-constrained retention register minimization in power gating designTing-Wei Chiang, / Chang, Kai-Hui / Liu, Yen-Ting / Jiang, Jie-Hong R. et al. | 2015
- 1
-
Nanowire-aware routing considering high cut mask complexityYu-Hsuan Su, / Chang, Yao-Wen et al. | 2015
- 1
-
Monolayer transition metal dichalcogenide and black phosphorus transistors for low power robust SRAM designRakshit, Joydeep / Runlai Wan, / Lam, Kai Tak / Jing Guo, / Mohanram, Kartik et al. | 2015
- 1
-
Evaluation of Functional Mock-up Interface for vehicle power network modelingNishimiya, Kenji / Saito, Toru / Shimada, Satoshi et al. | 2015
- 1
-
New game, new goal posts: A recent history of timing closureKahng, Andrew B. et al. | 2015
- 1
-
Vortex: Variation-aware training for memristor X-barLiu, Beiye / Hai Li, / Yiran Chen, / Xin Li, / Qing Wu, / Tingwen Huang, et al. | 2015
- 1
-
Opportunistic Turbo Execution in NTC: Exploiting the paradigm shift in performance bottlenecksChen, Hu / Manzi, Dieudonne / Roy, Sanghamitra / Chakraborty, Koushik et al. | 2015
- 1
-
High performance dummy fill insertion with coupling and uniformity constraintsLin, Yibo / Yu, Bei / Pan, David Z. et al. | 2015
- 1
-
Self-correcting STTRAM under magnetic field attacksJang, Jae-Won / Park, Jongsun / Ghosh, Swaroop / Bhunia, Swarup et al. | 2015
- 1
-
Design tool chain for cyber-physical systems: Lessons learnedSztipanovits, Janos / Bapty, Ted / Neema, Sandeep / Koutsoukos, Xenofon / Jackson, Ethan et al. | 2015
- 1
-
Impact assessment of net metering on smart home cyberattack detectionLiu, Yang / Hu, Shiyan / Jie Wu, / Yiyu Shi, / Yier Jin, / Yu Hu, / Xiaowei Li, et al. | 2015
- 1
-
Investigation of obfuscation-based anti-reverse engineering for printed circuit boardsGuo, Z. / Tehranipoor, M. / Forte, D. / Di, J. et al. | 2015
- 1
-
Efficient design space exploration of embedded platformsLukasiewycz, Martin / Sagstetter, Florian / Steinhorst, Sebastian et al. | 2015
- 1
-
One-pass logic synthesis for graphene-based Pass-XNOR logic circuitsTenace, Valerio / Calimera, Andrea / Macii, Enrico / Poncino, Massimo et al. | 2015
- 1
-
Pre-silicon security verification and validation: A formal perspectiveXiaolong Guo, / Dutta, Raj Gautam / Yier Jin, / Farahmandi, Farimah / Mishra, Prabhat et al. | 2015
- 1
-
Task scheduling strategies to mitigate hardware variability in embedded shared memory clustersRahimi, Abbas / Cesarini, Daniele / Marongiu, Andrea / Gupta, Rajesh K. / Benini, Luca et al. | 2015
- 1
-
Avoiding transitional effects in Dynamic Circuit Specialisation on FPGAsHeyse, Karel / Stroobandt, Dirk et al. | 2015
- 1
-
Layout-dependent-effects-aware analytical analog placementOu, Hung-Chih / Kai-Han Tseng, / Liu, Jhao-Yan / I-Peng Wu, / Chang, Yao-Wen et al. | 2015
- 1
-
Approximate storage for energy efficient spintronic memoriesRanjan, Ashish / Venkataramani, Swagath / Fong, Xuanyao / Roy, Kaushik / Raghunathan, Anand et al. | 2015
- 1
-
Energy efficient MapReduce with VFI-enabled multicore platformsDuraisamy, Karthi / Kim, Ryan Gary / Choi, Wonje / Liu, Guangshuo / Pande, Partha Pratim / Marculescu, Radu / Marculescu, Diana et al. | 2015
- 1
-
HW/SW trade-offs in I/O virtualization for Controller Area NetworkHerber, Christian / Reinhardt, Dominik / Richter, Andre / Herkersdorf, Andreas et al. | 2015
- 1
-
Fixing the broken time machine: Consistency-aware checkpointing for energy harvesting powered non-volatile processorXie, Mimi / Zhao, Mengying / Pan, Chen / Jingtong Hu, / Liu, Yongpan / Xue, Chun Jason et al. | 2015
- 1
-
Local search algorithms for timing-driven placement under arbitrary delay modelsBock, Adrian / Held, Stephan / Kammerling, Nicolas / Schorr, Ulrike et al. | 2015
- 1
-
DERA: Yet another differential fault attack on cryptographic devices based on error rate analysisLiu, Yannan / Zhang, Jie / Wei, Lingxiao / Yuan, Feng / Xu, Qiang et al. | 2015
- 1
-
Nautilus: Fast automated IP design space search using guided genetic algorithmsPapamichael, Michael K. / Milder, Peter / Hoe, James C. et al. | 2015
- 1
-
Parallel execution of AUTOSAR legacy applications on multicore ECUs with timed implicit communicationKehr, Sebastian / Quinones, Eduardo / Boddeker, Bert / Schafer, Gunter et al. | 2015
- 1
-
Sequential equivalence checking of clock-gated circuitsDai, Yu-Yun / Khoo, Kei-Yong / Brayton, Robert K. et al. | 2015
- 1
-
Effective model-based mask fracturing for mask cost reductionKagalwalla, Abde Ali / Gupta, Puneet et al. | 2015
- 1
-
A statistical methodology for noise sensor placement and full-chip voltage map generationXiaochen Liu, / Shupeng Sun, / Pingqiang Zhou, / Xin Li, / Haifeng Qian, et al. | 2015
- 1
-
Automating design-space exploration: Optimal deployment of automotive SW-components in an ISO26262 contextSchatz, Bernhard / Voss, Sebastian / Zverlov, Sergey et al. | 2015
- 1
-
Design & verification of automotive SoC firmwareKleeberger, Veit B. / Rutkowski, Stefan / Coppens, Ruth et al. | 2015
- 1
-
Resource usage templates and signatures for COTS multicore processorsFernandez, Gabriel / Jalle, Javier / Abella, Jaume / Quinones, Eduardo / Vardanega, Tullio / Cazorla, Francisco J. et al. | 2015
- 1
-
A cross-layer design exploration of charge-recycled power-delivery in many-layer 3D-ICZhang, Runjie / Mazumdar, Kaushik / Meyer, Brett H. / Wang, Ke / Skadron, Kevin / Stan, Mircea et al. | 2015
- 1
-
An efficient algorithm for frequency-weighted balanced truncation of VLSI interconnects in descriptor formVasudevan, V. / Ramakrishna, M. et al. | 2015
- 1
-
To collect or not to collect: Just-in-time garbage collection for high-performance SSDs with long lifetimesHahn, Sangwook Shane / Lee, Sungjin / Jihong Kim, et al. | 2015
- 1
-
Achieving SLC performance with MLC flash memoryYu-Ming Chang, / Chang, Yuan-Hao / Kuo, Tei-Wei / Yung-Chun Li, / Hsiang-Pang Li, et al. | 2015
- 1
-
General chair's messageCirkel, Anne et al. | 2015
- 1
-
Copyright page| 2015
- 1
-
ProPRAM: Exploiting the transparent logic resources in Non-Volatile Memory for Near Data ComputingWang, Ying / Han, Yinhe / Lei Zhang, / Li, Huawei / Li, Xiaowei et al. | 2015
- 1
-
Vibration-based secure side channel for medical devicesKim, Younghyun / Lee, Woo Suk / Raghunathan, Vijay / Jha, Niraj K. / Raghunathan, Anand et al. | 2015
- 1
-
Information leakage chaff: Feeding red herrings to side channel attackersAgosta, Giovanni / Barenghi, Alessandro / Pelosi, Gerardo / Scandale, Michele et al. | 2015
- 1
-
TyTAN: Tiny trust anchor for tiny devicesBrasser, Ferdinand / El Mahjoub, Brahim / Sadeghi, Ahmad-Reza / Wachsmann, Christian / Koeberl, Patrick et al. | 2015
- 1
-
Memory Heat Map: Anomaly detection in real-time embedded systems using memory behaviorYoon, Man-Ki / Mohan, Sibin / Choi, Jaesik / Sha, Lui et al. | 2015
- 1
-
Timing-aware control software design for automotive systemsZiegenbein, Dirk / Hamann, Arne et al. | 2015
- 1
-
SuperNet: Multimode interconnect architecture for manycore chipsBokhari, Haseeb / Javaid, Haris / Shafique, Muhammad / Henkel, Jorg / Parameswaran, Sri et al. | 2015
- 1
-
Cloning your mind: Security challenges in cognitive system designs and their solutionsBeiye Liu, / Chunpeng Wu, / Hai Li, / Yiran Chen, / Qing Wu, / Barnell, Mark / Qinru Qiu, et al. | 2015
- 1
-
Optimizing data placement for reducing shift operations on Domain Wall MemoriesXianzhang Chen, / Sha, Edwin H.-M. / Qingfeng Zhuge, / Penglin Dai, / Weiwen Jiang, et al. | 2015
- 1
-
SoC security architecture: Current practices and emerging needsPeeters, Eric et al. | 2015
- 1
-
Correctness and security at odds: Post-silicon validation of modern SoC designsRay, Sandip / Jin Yang, / Basak, Abhishek / Bhunia, Swarup et al. | 2015
- 1
-
ElasticCore: Enabling dynamic heterogeneity with joint core and voltage/frequency scalingTavana, Mohammad Khavari / Hajkazemi, Mohammad Hossein / Pathak, Divya / Savidis, Ioannis / Homayoun, Houman et al. | 2015
- 1
-
Area-efficient pipelining for FPGA-targeted high-level synthesisZhao, Ritchie / Tan, Mingxing / Dai, Steve / Zhang, Zhiru et al. | 2015
- 1
-
CMOST: A system-level FPGA compilation frameworkZhang, Peng / Huang, Muhuan / Bingjun Xiao, / Hui Huang, / Cong, Jason et al. | 2015
- 1
-
mTunes: Efficient post-silicon tuning of mixed-signal/RF integrated circuits based on Markov decision processZaheer, Manzil / Wang, Fa / Gu, Chenjie / Li, Xin et al. | 2015
- 1
-
EM attack sensor: Concept, circuit, and design-automation methodologyMiura, Noriyuki / Fujimoto, Daisuke / Nagata, Makoto / Homma, Naofumi / Hayashi, Yuichi / Aoki, Takafumi et al. | 2015
- 1
-
A synthesis methodology for application-specific logic-in-memory designsSumbul, H. Ekin / Vaidyanathan, Kaushik / Zhu, Qiuling / Franchetti, Franz / Pileggi, Larry et al. | 2015
- 1
-
Robust design of E/E architecture component platformsGraf, Sebastian / Reinhart, Sebastian / Glab, Michael / Teich, Jurgen / Platte, Daniel et al. | 2015
- 1
-
Construction of reconfigurable clock trees for MCMM designsEwetz, Rickard / Janarthanan, Shankarshana / Koh, Cheng-Kok et al. | 2015
- 1
-
GRIP: Grammar-based IP integration and packaging for acceleration-rich SoC designsJassi, Munish / Muller-Gritschneder, Daniel / Schlichtmann, Ulf et al. | 2015
- 1
-
Verification of gate-level arithmetic circuits by function extractionCiesielski, Maciej / Yu, Cunxi / Brown, Walter / Liu, Duo / Rossi, Andre et al. | 2015
- 1
-
Adaptive characterization and emulation of delay-based physical unclonable functions using statistical modelsXu, Teng / Li, Dongfang / Potkonjak, Miodrag et al. | 2015
- 1
-
A STT-RAM-based low-power hybrid register file for GPGPUsGushu Li, / Xiaoming Chen, / Guangyu Sun, / Hoffmann, Henry / Yongpan Liu, / Yu Wang, / Huazhong Yang, et al. | 2015
- 1
-
b-HiVE: A bit-level history-based error model with value correlation for voltage-scaled integer and floating point unitsTziantzioulis, G. / Gok, A. M. / Faisal, S M / Hardavellas, N. / Ogrenci-Memik, S. / Parthasarathy, S. et al. | 2015
- 1
-
PACOR: Practical control-layer routing flow with length-matching constraint for flow-based microfluidic biochipsYao, Hailong / Ho, Tsung-Yi / Cai, Yici et al. | 2015
- 1
-
A timing graph based approach to mode mergingSripada, Subramanyam / Palla, Murthy et al. | 2015
- 1
-
An efficient algorithm for statistical timing yield optimizationRamprasath, S. / Vasudevan, V. et al. | 2015
- 1
-
Transient-simulation guided graph sparsification approach to scalable Harmonic Balance (HB) analysis of post-layout RF circuits leveraging heterogeneous CPU-GPU computing systemsHan, Lengfei / Feng, Zhuo et al. | 2015
- 1
-
Design tools for oscillator-based computing systemsWang, Tianshi / Roychowdhury, Jaijeet et al. | 2015
- 1
-
Virtual to the (near) end - Using virtual platforms for continuous integrationEngblom, Jakob et al. | 2015
- 1
-
Committee| 2015
- 1
-
A global-local optimization framework for simultaneous multi-mode multi-corner clock skew variation reductionHan, Kwangsoo / Kahng, Andrew B. / Lee, Jongpil / Li, Jiajia / Nath, Siddhartha et al. | 2015
- 1
-
Improving formal timing analysis of switched ethernet by exploiting FIFO schedulingThiele, Daniel / Axer, Philip / Ernst, Rolf et al. | 2015
- 1
-
Analysis and RTL correlation of instruction set simulators for automotive microcontroller robustness verificationEspinosa, Jaime / Hernandez, Carles / Abella, Jaume / de Andres, David / Ruiz, Juan Carlos et al. | 2015
- 1
-
Acceleration of control flows on Reconfigurable Architecture with a composite methodJunbin Wang, / Liu, Leibo / Zhu, Jianfeng / Yin, Shouyi / Wei, Shaojun et al. | 2015
- 1
-
In-circuit temporal monitors for runtime verification of reconfigurable designsTodman, Tim / Stilkerich, Stephan / Luk, Wayne et al. | 2015
- 1
-
Blocking unsafe behaviors in control systems through static and dynamic policy enforcementMcLaughlin, Stephen et al. | 2015
- 1
-
Efficient dynamic information flow tracking on a processor with core debug interfaceLee, Jinyong / Heo, Ingoo / Lee, Yongje / Paek, Yunheung et al. | 2015
- 1
-
EnAAM: Energy-efficient anti-aging for on-chip video memoriesShafique, Muhammad / Khan, Muhammad Usman Karim / Tufek, Orcun / Henkel, Jorg et al. | 2015
- 1
-
HARS: A heterogeneity-aware runtime system for self-adaptive multithreaded applicationsJaeyoung Yun, / Jinsu Park, / Woongki Baek, et al. | 2015
- 1
-
Power-performance modelling of mobile gaming workloads on heterogeneous MPSoCsPathania, Anuj / Irimiea, Alexandru Eugen / Prakash, Alok / Mitra, Tulika et al. | 2015
- 1
-
New trends in dark siliconHenkel, Jorg / Khdr, Heba / Pagani, Santiago / Shafique, Muhammad et al. | 2015
- 1
-
Deadline-aware task scheduling for solar-powered nonvolatile sensor nodes with global energy migrationZhang, Daming / Liu, Yongpan / Sheng, Xiao / Jinyang Li, / Tongda Wu, / Xue, Chun Jason / Huazhong Yang, et al. | 2015
- 1
-
Boolean logic optimization in Majority-Inverter GraphsAmaru, Luca / Gaillardon, Pierre-Emmanuel / De Micheli, Giovanni et al. | 2015
- 1
-
Optimal control of PEVs for energy cost minimization and frequency regulation in the smart grid accounting for battery state-of-health degradationTiansong Cui, / Wang, Yanzhi / Shuang Chen, / Qi Zhu, / Nazarian, Shahin / Pedram, Massoud et al. | 2015
- 1
-
Evaluating battery aging on mobile devicesJaeseong Lee, / Yohan Chon, / Hojung Cha, et al. | 2015
- 1
-
Virtual flash chips: Rethinking the layer design of flash devices to improve data recoverabilityMing-Chang Yang, / Yuan-Hao Chang, / Tei-Wei Kuo, et al. | 2015
- 1
-
Compacting privacy-preserving k-nearest neighbor search using logic synthesisSonghori, Ebrahim M. / Hussain, Siam U. / Sadeghi, Ahmad-Reza / Koushanfar, Farinaz et al. | 2015
- 1
-
Security analysis of automotive architectures using probabilistic model checkingMundhenk, Philipp / Steinhorst, Sebastian / Lukasiewycz, Martin / Fahmy, Suhaib A. / Chakraborty, Samarjit et al. | 2015
- 1
-
Design automation challenges for scalable quantum architecturesPolian, Ilia / Fowler, Austin G. et al. | 2015
- 1
-
DaTuM: Dynamic tone mapping technique for OLED display power saving based on video classificationChen, Xiang / Chen, Yiran / Chun Jason Xue, et al. | 2015
- 1
-
Detailed routing for Spacer-Is-Metal type Self-Aligned Double/Quadruple Patterning LithographyDing, Yixiao / Chu, Chris / Mak, Wai-Kei et al. | 2015
- 1
-
A 127 fps in full HD accelerator based on optimized AKAZE with efficiency and effectiveness for image feature extractionJiang, Guangli / Liu, Leibo / Wenping Zhu, / Shouyi Yin, / Shaojun Wei, et al. | 2015
- 1
-
Novel power grid reduction method based on L1 regularizationYe Wang, / Meng Li, / Xinyang Yi, / Zhao Song, / Orshansky, Michael / Caramanis, Constantine et al. | 2015
- 1
-
Joint precision optimization and high level synthesis for approximate computingChaofan Li, / Wei Luo, / Sapatnekar, Sachin S. / Jiang Hu, et al. | 2015
- 1
-
Accelerating real-time embedded scene labeling with convolutional networksCavigelli, Lukas / Magno, Michele / Benini, Luca et al. | 2015
- 1
-
Detecting Hardware Trojans using backside optical imaging of embedded watermarksBoyou Zhou, / Adato, Ronen / Zangeneh, Mahmoud / Tianyu Yang, / Uyar, Aydan / Goldberg, Bennett / Unlu, Selim / Joshi, Ajay et al. | 2015
- 1
-
Leveraging on-chip voltage regulators as a countermeasure against side-channel attacksWeize Yu, / Uzun, Orhun Aras / Kose, Selcuk et al. | 2015
- 1
-
A generic representation of CCSL time constraints for UML/MARTE modelsPeters, Judith / Wille, Robert / Przigoda, Nils / Kuhne, Ulrich / Drechsler, Rolf et al. | 2015
- 1
-
OSFA: A new paradigm of gate-sizing for power/performance optimizations under multiple operating conditionsRoy, Subhendu / Derong Liu, / Junhyung Um, / Pan, David Z. et al. | 2015
- 1
-
Ambient energy harvesting nonvolatile processors: From circuit to systemLiu, Yongpan / Zewei Li, / Li, Hehe / Wang, Yiqun / Li, Xueqing / Ma, Kaisheng / Li, Shuangchen / Chang, Meng-Fan / John, Sampson / Yuan Xie, et al. | 2015
- 1
-
TA-FTA: Transition-aware functional timing analysis with a four-valued encodingChang, Jasper C. C. / Huang, Ryan H. -M. / Lin, Louis Y. -Z. / Wen, Charles H.-P. et al. | 2015
- 1
-
EUV and e-beam manufacturability: Challenges and solutionsChang, Yao-Wen / Ru-Gun Liu, / Fang, Shao-Yun et al. | 2015
- 1
-
Speaker| 2015
- 1
-
Walking a thin line - performance and quality grading vs. yield overcutBowen, Carl et al. | 2015
- 1
-
A spiking neuromorphic design with resistive crossbarLiu, Chenchen / Yan, Bonan / Yang, Chaofei / Song, Linghao / Li, Zheng / Liu, Beiye / Chen, Yiran / Li, Hai / Qing Wu, / Hao Jiang, et al. | 2015
- 1
-
PARR: Pin access planning and regular routing for self-aligned double patterningXu, Xiaoqing / Yu, Bei / Gao, Jhih-Rong / Hsu, Che-Lun / Pan, David Z. et al. | 2015
- 1
-
An introduction into fault-tolerant quantum computingPaler, Alexandru / Devitt, Simon J. et al. | 2015
- 1
-
VWS: A versatile warp scheduler for exploring diverse cache localities of GPGPU applicationsMao, Mengjie / Hu, Jingtong / Chen, Yiran / Li, Hai et al. | 2015
- 1
-
Revisiting accelerator-rich CMPs: Challenges and solutionsTeimouri, Nasibeh / Tabkhi, Hamed / Schirner, Gunar et al. | 2015
- 1
-
SmartBalance: A sensing-driven linux load balancer for energy efficiency of heterogeneous MPSoCsSarma, Santanu / Muck, T. / Bathen, Luis A. D. / Dutt, N. / Nicolau, A. et al. | 2015
- 1
-
Including variability of physical models into the design automation of cyber-physical systemsBuini, Hamid Mirzaei / Peter, Steffen / Givargis, Tony et al. | 2015
- 1
-
Thermal constrained resource management for mixed ILP-TLP workloads in dark silicon chipsKhdr, Heba / Pagani, Santiago / Shafique, Muhammad / Henkel, Jorg et al. | 2015
- 1
-
Network footprint reduction through data access and computation placement in NoC-based manycoresJun Liu, / Kotra, Jagadish / Wei Ding, / Kandemir, Mahmut et al. | 2015
- 1
-
DimNoC: A dim silicon approach towards power-efficient on-chip networkZhan, Jia / Ouyang, Jin / Ge, Fen / Zhao, Jishen / Xie, Yuan et al. | 2015
- 1
-
PRES: Pseudo-Random Encoding Scheme to increase the bit flip reduction in the memorySeyedzadeh, Seyed Mohammad / Maddah, Rakan / Jones, Alex / Melhem, Rami et al. | 2015
- 1
-
Security and privacy challenges in industrial Internet of ThingsSadeghi, Ahmad-Reza / Wachsmann, Christian / Waidner, Michael et al. | 2015
- 1
-
Hybrid Quick Error Detection (H-QED): Accelerator validation and debug using high-level synthesis principlesCampbell, Keith A. / Lin, David / Mitra, Subhasish / Chen, Deming et al. | 2015
- 1
-
A control-theoretic approach for energy efficient CPU-GPU subsystem in mobile platformsKadjo, David / Ayoub, Raid / Kishinevsky, Michael / Gratz, Paul V. et al. | 2015
- 1
-
On using control signals for word-level identification in a gate-level netlistTashjian, Edward / Davoodi, Azadeh et al. | 2015
- 1
-
Design, packaging, and architectural policy co-optimization for DC power integrity in 3D DRAMPeng, Yarui / Bon Woong Ku, / Park, Younsik / Park, Kwang-Il / Jang, Seong-Jin / Joo Sun Choi, / Lim, Sung Kyu et al. | 2015
- 1
-
Core vs. uncore: The heart of darknessCheng, Hsiang-Yun / Zhan, Jia / Zhao, Jishen / Xie, Yuan / Sampson, Jack / Irwin, Mary Jane et al. | 2015
- 1
-
Improving worst-case cache performance through selective bypassing and register-indexed cacheIsmail, Mohamed / Lo, Daniel / Suh, G. Edward et al. | 2015
- 1
-
PACO: Fast average-performance estimation for time-randomized cachesMilutinovic, Suzana / Quinones, Eduardo / Abella, Jaume / Cazorla, Francisco J. et al. | 2015
- 1
-
A SPICE model of flexible transition metal dichalcogenide field-effect transistorsChen, Ying-Yu / Zelei Sun, / Chen, Deming et al. | 2015
- 1
-
Joint automatic control of the powertrain and auxiliary systems to enhance the electromobility in hybrid electric vehiclesYanzhi Wang, / Xue Lin, / Pedram, Massoud / Chang, Naehyuck et al. | 2015
- 1
-
FlexLevel: A novel NAND flash storage system design for LDPC latency reductionJie Guo, / Wujie Wen, / Jingtong Hu, / Danghui Wang, / Hai Li, / Yiran Chen, et al. | 2015
- 1
-
Variation aware cross-talk aggressor alignment by mixed integer linear programmingZolotov, Vladimir / Feldmann, Peter et al. | 2015
- 1
-
Towards enhancing analog circuits sizing using SMT-based techniquesLahiouel, Ons / Zaki, Mohamed H. / Tahar, Sofiene et al. | 2015
- 1
-
Design and integration challenges of building security hardware IPWachs, Megan / Ip, Daniel et al. | 2015
- 1
-
Hayat: Harnessing Dark Silicon and variability for aging deceleration and balancingGnad, Dennis / Shafique, Muhammad / Kriebel, Florian / Rehman, Semeen / Duo Sun, / Henkel, Jorg et al. | 2015
- 1
-
Cutting structure-aware analog placement based on self-aligned double patterning with e-beam lithographyOu, Hung-Chih / Kai-Han Tseng, / Chang, Yao-Wen et al. | 2015
- 1
-
Bandwidth-efficient on-chip interconnect designs for GPGPUsJang, Hyunjun / Kim, Jinchun / Gratz, Paul / Ki Hwan Yum, / Kim, Eun Jung et al. | 2015
- 1
-
Domain-wall memory buffer for low-energy NoCsKline, Donald / Xu, Haifeng / Melhem, Rami / Jones, Alex K. et al. | 2015
- 1
-
Execution-driven parallel simulation of PGAS applications on heterogeneous tiled architecturesRoloff, Sascha / Schafhauser, David / Hannig, Frank / Teich, Jurgen et al. | 2015
- 1
-
The challenge of interoperability: Model-based integration for automotive control softwareYu, Huafeng / Joshi, Prachi / Talpin, Jean-Pierre / Shukla, Sandeep / Shiraishi, Shinichi et al. | 2015
- 1
-
HAFIX: Hardware-Assisted Flow Integrity eXtensionDavi, Lucas / Hanreich, Matthias / Paul, Debayan / Sadeghi, Ahmad-Reza / Koeberl, Patrick / Sullivan, Dean / Arias, Orlando / Jin, Yier et al. | 2015
- 1
-
Performance analysis of a memristive crossbar PUF designRose, Garrett S. / Meade, Chauncey A. et al. | 2015
- 1
-
Ensuring functional safety compliance for ISO 26262Sherer, Adam / Rose, John / Oddone, Riccardo et al. | 2015
- 1
-
Mitigating the impact of faults in unreliable memories for error-resilient applicationsGanapathy, Shrikanth / Karakonstantis, Georgios / Teman, Adam / Burg, Andreas et al. | 2015
- 1
-
Highly efficient entropy extraction for true random number generators on FPGAsRozic, Vladimir / Yang, Bohan / Dehaene, Wim / Verbauwhede, Ingrid et al. | 2015
- 1
-
Equivalence among stochastic logic circuits and its applicationTe-Hsuan Chen, / Hayes, John P. et al. | 2015
- 1
-
Design for low test pattern countsKonuk, Haluk / Moghaddam, Elham / Mukherjee, Nilanjan / Rajski, Janusz / Solanki, Deepak / Tyszer, Jerzy / Zawada, Justyna et al. | 2015
- 1
-
A lightweight early arbitration method for low-latency asynchronous 2D-mesh NoC'sJiang, Weiwei / Bhardwaj, Kshitij / Lacourba, Geoffray / Nowick, Steven M. et al. | 2015
- 1
-
Dynamically adaptive scrubbing mechanism for improved reliability in reconfigurable embedded systemsSantos, Rui / Venkataraman, Shyamsundar / Kumar, Akash et al. | 2015