Advanced Substrate Packaging Technologies for Enabling Heterogeneous Integration (HI) Applications (English)
- New search for: Duan, G.
- New search for: Kanaoka, Y.
- New search for: McRee, R.
- New search for: Li, Y.
- New search for: Liu, M. L.
- New search for: Yeon, H. S.
- New search for: Jones, J.
- New search for: Tanaka, H.
- New search for: May, A.
- New search for: Ranjan, R.
- New search for: Ozkan, O.
- New search for: Lehaf, A.
- New search for: Cho, S.
- New search for: Zhang, J.
- New search for: Manepalli, R.
- New search for: Mahajan, R.
- New search for: Azimi, H.
- New search for: Duan, G.
- New search for: Kanaoka, Y.
- New search for: McRee, R.
- New search for: Li, Y.
- New search for: Liu, M. L.
- New search for: Yeon, H. S.
- New search for: Jones, J.
- New search for: Tanaka, H.
- New search for: May, A.
- New search for: Ranjan, R.
- New search for: Ozkan, O.
- New search for: Lehaf, A.
- New search for: Cho, S.
- New search for: Zhang, J.
- New search for: Manepalli, R.
- New search for: Mahajan, R.
- New search for: Azimi, H.
In:
2022 International Electron Devices Meeting (IEDM)
;
3.4.1-3.4.4
;
2022
-
ISBN:
-
ISSN:
- Conference paper / Electronic Resource
-
Title:Advanced Substrate Packaging Technologies for Enabling Heterogeneous Integration (HI) Applications
-
Contributors:Duan, G. ( author ) / Kanaoka, Y. ( author ) / McRee, R. ( author ) / Li, Y. ( author ) / Liu, M. L. ( author ) / Yeon, H. S. ( author ) / Jones, J. ( author ) / Tanaka, H. ( author ) / May, A. ( author ) / Ranjan, R. ( author )
-
Published in:2022 International Electron Devices Meeting (IEDM) ; 3.4.1-3.4.4
-
Publisher:
- New search for: IEEE
-
Publication date:2022-12-03
-
Size:885550 byte
-
ISBN:
-
ISSN:
-
DOI:
-
Type of media:Conference paper
-
Type of material:Electronic Resource
-
Language:English
-
Source:
Table of contents conference proceedings
The tables of contents are generated automatically and are based on the data records of the individual contributions available in the index of the TIB portal. The display of the Tables of Contents may therefore be incomplete.
- 1.1.1
-
Celebrating 75 years of the transistor A look at the evolution of Moore’s Law innovationKelleher, A.B. et al. | 2022
- 1.2.1
-
Expanding Human Potential through Imaging and Sensing TechnologiesOike, Yusuke et al. | 2022
- 1.3.1
-
Enabling full fault tolerant quantum computing with silicon based VLSI technologiesVinet, Maud et al. | 2022
- 2.1.1
-
Novel a-IGZO Anti-Ferroelectric FET LIF Neuron with Co-Integrated Ferroelectric FET Synapse for Spiking Neural NetworksSun, Chen / Wang, Xiaolin / Xu, Haiwen / Zhang, Jishen / Zheng, Zijie / Kong, Qiwen / Kang, Yuye / Han, Kaizhen / Jiao, Leming / Zhou, Zuopu et al. | 2022
- 2.2.1
-
Highly Scalable (30 nm) and Ultra-low-energy (~5fJ/pulse) Vertical Sensing ECRAM with Ideal Synaptic Characteristics Using Ion-permeable Graphene ElectrodesLee, Jongwon / Nikam, Revannath Dnyandeo / Kim, Dongmin / Hwang, Hyunsang et al. | 2022
- 2.3.1
-
Experimental Demonstration of High-order In-memory Computing based on IGZO Charge Trapping RAM Array for Polynomial Regression AccelerationBao, Lin / Wang, Zongwei / Shi, Yuhao / Ling, Yaotian / Yang, Yunfan / Shan, Linbo / Sin, Shengyu Bao / Wang, Cuimei / Zheng, Qilin / Kim, Junghwan et al. | 2022
- 2.4.1
-
Application-Hardware Co-Design: System-Level Optimization of Neuromorphic Computers with Neuromorphic DevicesSchuman, C.D. / Plank, J.S. / Rose, G.S. et al. | 2022
- 2.5.1
-
Demonstration of Analog Compute-In-Memory Using the Charge-Trap Transistor in 22 FDX TechnologyQiao, S. / Moran, S. / Srinivas, D. / Pamarti, S. / Iyer, S. S. et al. | 2022
- 2.6.1
-
Dynamics of PSG-Based Nanosecond Protonic Programmable Resistors for Analog Deep LearningOnen, M. / Li, J. / Yildiz, B. / Del Alamo, J. A. et al. | 2022
- 2.7.1
-
BEOL-Compatible High-Performance a-IGZO Transistors with Record high Ids,max = 1207 μA/μm and on-off ratio exceeding 1011 at Vds = 1VLi, Qijun / Gu, Chengru / Zhu, Shenwu / Hu, Qianlan / Zhao, Wenjie / Li, Xuefei / Huang, Ru / Wu, Yanqing et al. | 2022
- 3.1.1
-
Advanced System in Package Enabled by Wafer Level Heterogeneous Integration of ChipletsBhattacharya, S. / Lim, T. G. / Ho, D. / Chui, K. J. / Zhang, X. W. / Rotaru, M. D. / Sajay, B. G. / Chai, T. C. / Chong, S. C. / Li, H. Y. et al. | 2022
- 3.2.1
-
Heterogeneous and Chiplet Integration Using Organic Interposer (CoWoS-R)Jeng, Shin-Puu / Liu, Monsen et al. | 2022
- 3.3.1
-
Advanced Packaging Technology Platforms for Chiplets and Heterogeneous IntegrationCao, Lihong et al. | 2022
- 3.4.1
-
Advanced Substrate Packaging Technologies for Enabling Heterogeneous Integration (HI) ApplicationsDuan, G. / Kanaoka, Y. / McRee, R. / Li, Y. / Liu, M. L. / Yeon, H. S. / Jones, J. / Tanaka, H. / May, A. / Ranjan, R. et al. | 2022
- 3.5.1
-
Hybrid Substrates for Chiplet Design and Heterogeneous Integration PackagingLau, J. H. / Chen, G. / Yang, C. / Peng, A. / Huang, J. / Peng, C. / Ko, C. / Yang, H. / Chen, Y. / Tseng, T. et al. | 2022
- 3.6.1
-
Advanced Package FAB Solutions(APFS) for Chiplet IntegrationYoon, Seung Wook et al. | 2022
- 4.2.1
-
Scaling Surface Acoustic Wave Filters on LNOI platform for 5G communicationSu, Rongxuan / Fu, Sulei / Lu, Zengtian / Shen, Junyao / Xu, Huiping / Liu, Peisen / Xu, Zhibin / Wang, Haowei / Zhang, Shuai / Wang, Rui et al. | 2022
- 4.3.1
-
First Demonstration of BEOL-Compatible Ultrathin AtomicLayer-Deposited InZnO Transistors with GHz Operation and Record High Bias-Stress StabilityZheng, D. / Charnas, A. / Anderson, J. / Dou, H. / Hu, Z. / Lin, Z. / Zhang, Z. / Zhang, J. / Liao, P.-Y. / Si, M. et al. | 2022
- 4.4.1
-
Resonant Tunneling Diode Technology for Future Terahertz ApplicationsSuzuki, S. et al. | 2022
- 4.5.1
-
3D Stackable Cryogenic InGaAs HEMT-Based DC and RF Multiplexer/Demultiplexer for Large-Scale Quantum ComputingJeong, Jaeyong / Kim, Seong Kwang / Kim, Jongmin / Lee, Jisung / Kim, Joon Pyo / Kim, Bong Ho / Suh, Yoon-Je / Geum, Dae-Myeong / Park, Seung-Young / Kim, SangHyeon et al. | 2022
- 4.6.1
-
Cryogenic InGaAs HEMT-Based Switches For Quantum Signal RoutingFerraris, A. / Cha, E. / Mueller, P. / Morf, T. / Prathapan, M. / Sousa, M. / Han, H.-C. / Enz, C. / Zota, C. B. et al. | 2022
- 5.1.1
-
Understanding the Cycling-Dependent Threshold Voltage Instability in OTS DevicesYamaguchi, Marina / Degraeve, Robin / Garbin, Daniele / Clima, Sergiu / Ravsher, Taras / Matsubayashi, Daisuke / Tsukamoto, Takayuki / Delhougne, Romain / Goux, Ludovic / Kar, Gouri Sankar et al. | 2022
- 5.2.1
-
Systematic multiple filament statistical methodology using a successive varying-voltage technique for series resistance effect in post-breakdown (forming) characterizationWu, Ernest / Li, Baozhen / Ando, Takashi et al. | 2022
- 5.3.1
-
Reliability of Computing-In-Memory Concepts Based on Memristive ArraysWouters, D. J. / Brackmann, L. / Jafari, A. / Bengel, C. / Mayahinia, M. / Waser, R. / Menzel, S. / Tahoori, M. et al. | 2022
- 5.4.1
-
Design Guidelines of Thermally Stable Hafnia Ferroelectrics for the Fabrication of 3D Memory DevicesKim, Giuk / Shin, Hunbeom / Eom, Taehyong / Jung, Minhyun / Kim, Taeho / Lee, Sangho / Kim, Minki / Jeong, Yeongseok / Kim, Ji-Sung / Nam, Kab-Jin et al. | 2022
- 5.5.1
-
Retention Improvement in Vertical NAND Flash Memory Using 1-bit Soft Erase Scheme and its Effects on Neural NetworksPark, Sung-Ho / Kwon, Dongseok / Yoo, Ho-Nam / Back, Jong-Won / Hwang, Joon / Yang, Yeongheon / Kim, Jae-Joon / Lee, Jong-Ho et al. | 2022
- 6.1.1
-
First Demonstration of Ultra-low Dit Top-Gated Ferroelectric Oxide-Semiconductor Memtransistor with Record Performance by Channel Defect Self-Compensation Effect for BEOL-Compatible Non-Volatile Logic SwitchChen, Chun-Kuei / Fang, Zihang / Hooda, Sonu / Lal, Manohar / Chand, Umesh / Xu, Zefeng / Pan, Jieming / Tsai, Shih-Hao / Zamburg, Evgeny / Thean, Aaron Voon-Yew et al. | 2022
- 6.2.1
-
Ferroelectric and Interlayer Co-optimization with In-depth Analysis for High Endurance FeFETZhou, Yuejia / Liang, Zhongxin / Luo, Wenpu / Yu, Ming / Zhu, Runteng / Lv, Xiao / Li, Jiachen / Huang, Qianqian / Liu, Fei / Tang, Kechao et al. | 2022
- 6.3.1
-
In-situ atomic-level observation of reversible first-order transition in Hf0.5 Zr0.5 O₂ ferroelectric filmZheng, Yonghui / Xin, Tianjiao / Yang, Jing / Zheng, Yunzhe / Gao, Zhaomeng / Wang, Yiwei / Xu, Yilin / Cheng, Yan / Du, Kai / Su, Diqing et al. | 2022
- 6.4.1
-
High performance La-doped HZO based ferroelectric capacitors by interfacial engineeringPopovici, M.I. / Bizindavyi, J. / Favia, P. / Clima, S. / Alam, Md. Nur K. / Ramachandran, R.K. / Walke, A.M. / Celano, U. / Leonhardt, A. / Mukherjee, S. et al. | 2022
- 6.5.1
-
Record-high 2Pr=60 μC/cm2 by Sub-5ns Switching Pulse in Ferroelectric Lanthanum-doped HfO2 with Large Single Grain of Orthorhombic Phase >38 nmFu, Tianyue / Zeng, Min / Liu, Shiyuan / Liu, Honggang / Huang, Ru / Wu, Yanqing et al. | 2022
- 6.6.1
-
A c-axis aligned crystalline IGZO FET and a 0.06-μm2 HfO2-based Capacitor 1T1C FeRAM with High Voltage Tolerance and 10-ns Write TimeEndo, M. / Numata, S. / Ohshima, K. / Egi, Y. / Isaka, F. / Ohno, T. / Tezuka, S. / Hamada, T. / Furutani, K. / Tsuda, K. et al. | 2022
- 6.7.1
-
Hafnia-Based FeRAM: A Path Toward Ultra-High Density for Next-Generation High-Speed Embedded MemoryHaratipour, Nazila / Chang, Sou-Chi / Shivaraman, Shriram / Neumann, Christopher / Liao, Yu-Ching / Alpizar, Bernal G / Tung, I-Cheng / Li, Hai / Kumar, Vachan / Doyle, Brian et al. | 2022
- 7.1.1
-
High-Performance Bilayer WSe2 pFET with Record Ids = 425 μA/μm and Gm = 100 at μS/μm Vds = -1 V By Direct Growth and Fabrication on SiO2 SubstrateShi, Xinhang / Wang, Xin / Liu, Shiyuan / Guo, Qi / Sun, Lei / Li, Xuefei / Huang, Ru / Wu, Yanqing et al. | 2022
- 7.2.1
-
High-Performance Monolayer WSe2 p/n FETs via Antimony-Platinum Modulated Contact Technology towards 2D CMOS ElectronicsChou, Ang-Sheng / Lin, Yu-Tung / Lin, Yuxuan Cosmi / Hsu, Ching-Hao / Li, Ming-Yang / Liew, San-Lin / Chou, Sui-An / Chen, Hung-Yu / Chiu, Hsin-Yuan / Ho, Po-Hsun et al. | 2022
- 7.3.1
-
pMOSFET with CVD-grown 2D semiconductor channel enabled by ultra-thin and fab-compatible spacer dopingHung, Terry Y.T. / Li, Meng-Zhan / Yun, Wei Sheng / Chou, Sui An / Su, Sheng-Kai / Chen, Edward / Liew, San Lin / Yang, Ying-Mei / Lin, Kuang-I / Hou, Vincent et al. | 2022
- 7.4.1
-
Nearly Ideal Subthreshold Swing in Monolayer MoS₂ Top-Gate nFETs with Scaled EOT of 1 nmLee, Tsung-En / Su, Yuan-Chun / Lin, Bo-Jiun / Chen, Yi-Xuan / Yun, Wei-Sheng / Ho, Po-Hsun / Wang, Jer-Fu / Su, Sheng-Kai / Hsu, Chen-Feng / Mao, Po-Sen et al. | 2022
- 7.5.1
-
Gate length scaling beyond Si: Mono-layer 2D Channel FETs Robust to Short Channel EffectsDorow, C. J. / Penumatcha, A. / Kitamura, A. / Rogan, C. / O'Brien, K. P. / Lee, S. / Ramamurthy, R. / Cheng, C. -Y. / Maxey, K. / Zhong, T. et al. | 2022
- 7.6.1
-
Crystalline Complex Oxide Membrane: Sub-1 nm CET Dielectrics for 2D TransistorsHuang, Jing-Kai / Wan, Yi / Shi, Junjie / Zhang, Ji / Wang, Zeheng / Yang, Zi-Liang / Huang, Bo-Chao / Chiu, Ya-Ping / Wang, Wenxuan / Yang, Ni et al. | 2022
- 7.7.1
-
Dielectric Interface Engineering for High-Performance Monolayer MoS₂ Transistors via hBN Interfacial Layer and Ta SeedingLan, Hao-Yu / Appenzeller, Joerg / Chen, Zhihong et al. | 2022
- 8.1.1
-
Compact Modeling of Emerging IC Devices for Technology-Design Co-developmentPahwa, G. / Dasgupta, A. / Tung, C. T. / Kao, M.Y. / Dabhi, C. K. / Sarker, S. / Salahuddin, S. / Hu, C. et al. | 2022
- 8.3.1
-
On the universality of drain-induced-barrier-lowering in field-effect transistorsChoi, Su-Min / Jo, Hyeon-Bhin / Yun, Do-Young / Kim, Jun-Gyu / Park, Wan-Soo / Baek, Ji-Min / Lee, In-Geun / Shin, Jang-Kyoo / Kwon, Hyuk-Min / Tsutsumi, Takuya et al. | 2022
- 8.4.1
-
Mitigating Impact of Defects On Performance with Classical Device Engineering of Scaled Si/SiGe Qubit ArraysKotlyar, R. / Premaratne, S. / Zheng, G. / Corrigan, J. / Pillarisetty, R. / Neyens, S. / Zietz, O. / Watson, T. / Luthi, F. / Borjans, F. et al. | 2022
- 8.5.1
-
Theoretical and Empirical Insight into Dopant, Mobility and Defect States in W Doped Amorphous In2 O3 for High-Performance Enhancement Mode BEOL TransistorsHu, Yaoqiao / Ye, Huacheng / Aabrar, Khandker Akif / Kirtania, Sharadindu Gopal / Chakraborty, Wriddhi / Datta, Suman / Cho, Kyeongjae et al. | 2022
- 8.6.1
-
OTS Physics-based Screening for Environment-friendly Selector MaterialsMatsubayashi, D. / Clima, S. / Ravsher, T. / Garbin, D. / Delhougne, R. / Kar, G. S. / Pourtois, G. et al. | 2022
- 9.1.1
-
Scalable Ultrahigh Voltage SiC Superjunction Device Technologies for Power Electronics ApplicationsGhandi, R. / Hitchcock, C. / Kennerly, S. / Torky, M. / Chow, T.P. et al. | 2022
- 9.2.1
-
Design guidelines for SBD integration into SiC-MOSFET breaking Ron A - diode conduction capability trade-offAsaba, Shunsuke / Furukawa, Masaru / Kusumoto, Yuji / Iijima, Ryosuke / Kono, Hiroshi et al. | 2022
- 9.3.1
-
Design and Characterization of the Junction Isolation Structure for Monolithic Integration of Planar CMOS and Vertical Power MOSFET on 4H-SiC up to 300 °CTsui, Bing-Yue / Tsai, Te-Kai / Hung, Chia-Lung / Wen, Yu-Xin et al. | 2022
- 9.4.1
-
41% Reduction In Power Stage Area On Silicon-On-Insulator Bipolar-CMOS-DMOS-IGBT Platform With Newly Developed Multiple Deep-Oxide Trench TechnologyZhang, Long / Ma, Jie / Gu, Yong / Liu, Siyang / Wei, Jiaxing / Li, Sheng / Sun, Weifeng / Zhang, Sen et al. | 2022
- 9.5.1
-
High-Performance Vertical β-Ga2 O3 Schottky Barrier Diodes Featuring P-NiO JTE with Adjustable ConductivityHao, Weibing / Wu, Feihong / Li, Wenshen / Xu, Guangwei / Xie, Xuan / Zhou, Kai / Guo, Wei / Zhou, Xuanze / He, Qiming / Zhao, Xiaolong et al. | 2022
- 9.6.1
-
GaN Field Emitter Arrays with JA of 10 A/cm2 at VGE = 50 V for Power ApplicationsShih, P.-C. / Zheng, T. / Arellano-Jimenez, M. J. / Gnade, B. / Akinwande, A. I. / Palacios, T. et al. | 2022
- 10.1.1
-
First demonstration of full integration and characterization of 4F² 1S1M cells with 45 nm of pitch and 20 nm of MTJ sizeSeo, Soo Man / Aikawa, Hisanori / Kim, Soo Gil / Nagase, Toshihiko / Ito, Yuich / Ha, Tae Jung / Yoshino, Kenichi / Jung, Bo Kyung / Oikawa, Tadaaki / Jung, Ku Youl et al. | 2022
- 10.2.1
-
Double spin-torque magnetic tunnel junction devices for last-level cache applicationsHu, G. / Safranski, C. / Sun, J. Z. / Hashemi, P. / Brown, S. L. / Bruley, J. / Buzi, L. / D'Emic, C. P. / Galligan, E. / Gottwald, M. G. et al. | 2022
- 10.3.1
-
25 nm iPMA-type Hexa-MTJ with solder reflow capability and endurance > 107 for eFlash-type MRAMHonjo, H. / Nishioka, K. / Miura, S. / Naganuma, H. / Watanabe, T. / Nasuno, T. / Tanigawa, T. / Noguchi, Y. / Inoue, H. / Yasuhira, M. et al. | 2022
- 10.4.1
-
High-Speed (400MB/s) and Low-BER STT-MRAM Technology for Industrial ApplicationsIkegawa, S. / Nagel, K. / Mancoff, F. B. / Alam, S. M. / Arora, M. / DeHerrera, M. / Lee, H. K. / Mukherjee, S. / Shimon, G. / Sun, J. J. et al. | 2022
- 10.5.1
-
Device Variation-Aware Adaptive Quantization for MRAM-based Accurate In-Memory Computing Without On-chip TrainingXiao, Zhihua / Naik, Vinayak Bharat / Cheung, Shun Kong / Lim, Jia Hao / Kwon, Jae-Hyun / Ren, Zheyu / Wang, Zhongrui / Shao, Qiming et al. | 2022
- 10.6.1
-
Highly reliable STT-MRAM adopting advanced MTJs with controlled domain wall pinningPark, J.-H. / Kim, J. H. / Kim, J. M. / Kim, J. / Apalkov, D. / Okada, A. / Sato, H. / Jeong, J. H. / Cho, Y. J. / Pi, U. et al. | 2022
- 10.7.1
-
World-most energy-efficient MRAM technology for non-volatile RAM applicationsLee, T. Y. / Lee, J. M. / Kim, M. K. / Oh, J. S. / Lee, J. W. / Jeong, H. M. / Jang, P. H. / Joo, M. K. / Suh, K. / Han, S. H. et al. | 2022
- 11.1.1
-
FerroHEMTs: High-Current and High-Speed All-Epitaxial AlScN/GaN Ferroelectric TransistorsCasamento, J. / Nomoto, K. / Nguyen, T. S. / Lee, H. / Savant, C. / Li, L. / Hickman, A. / Maeda, T. / Encomendero, J. / Gund, V. et al. | 2022
- 11.2.1
-
Record 94 GHz performance from N-polar GaN-on-Sapphire MIS-HEMTs: 5.8 W/mm and 38.5% PAELi, W. / Romanczyk, B. / Akso, E. / Guidry, M. / Hatui, N. / Wurm, C. / Liu, W. / Shrestha, P. / Collins, H. / Clymore, C. et al. | 2022
- 11.3.1
-
First Demonstration of State-of-the-art GaN HEMTs for Power and RF Applications on A Unified Platform with Free-standing GaN Substrate and Fe/C Co-doped BufferWu, Mei / Zhang, Meng / Yang, Ling / Hou, Bin / Yu, Qian / Li, Shiming / Shi, Chunzhou / Zhao, Wei / Lu, Hao / Chen, Weiwei et al. | 2022
- 11.4.1
-
Terahertz In0.8 Ga0.2 As quantum-well HEMTs toward 6G applicationsPark, Wan-Soo / Jo, Hyeon-Bhin / Kim, Hyo-Jin / Choi, Su-Min / Yoo, Ji-Hoon / Kim, Ji-Hun / Jeong, Hyeon-Seok / George, Sethu / Beak, Ji-Min / Lee, In-Geun et al. | 2022
- 11.5.1
-
III-V/III-N technologies for next generation high-capacity wireless communicationCollaert, N. / Alian, A. / Banerjee, A. / Boccardi, G. / Cardinael, P. / Chauhan, V. / Desset, C. / ElKashlan, R. / Khaled, A. / Ingels, M. et al. | 2022
- 11.6.1
-
415/610GHz fT/fMAX SiGe HBTs Integrated in a 45nm PDSOI BiCMOS processJain, Vibhor / Pekarik, John / Kenney, Crystal / Holt, Judson / Durcan, Chris / Johnson, Jeffrey B. / Saroop, Sudesh / Nafari, Mona / Ruparelia, Vaibhav / Gedela, Santosh Kumar et al. | 2022
- 11.7.1
-
0.13 μm HR SiGe BiCMOS Technology exhibiting 169 fs Ron x Coff Switch Performance targeting WiFi 6E Fully-Integrated RF Front-End-IC SolutionsGianesello, F. / Charbuillet, C. / Derrier, N. / Muller, D. / Diouf, C. / Ney, D. / Deglise-Favre, C. / Sicard, I. / Nsibi, M. Ali / Debroucke, R. et al. | 2022
- 12.1.1
-
Subtractive Ru Interconnect Enabled by Novel Patterning Solution for EUV Double Patterning and TopVia with Embedded Airgap Integration for Post Cu Interconnect ScalingPenny, C. / Motoyama, K. / Ghosh, S. / Bae, T. / Lanzillo, N. / Sieg, S. / Park, C. / Zou, L. / Lee, H. / Metzler, D. et al. | 2022
- 12.2.1
-
Low-Thermal-Budget BEOL-Compatible Beyond-Silicon Transistor Technologies for Future Monolithic-3D Compute and Memory ApplicationsThean, Aaron / Tsai, Shih-Hao / Chen, Chun-Kuei / Sivan, Maheswari / Tang, Baoshan / Hooda, Sonu / Fang, Zihang / Pan, Jieming / Leong, Jinfeng / Veluri, Hasita et al. | 2022
- 12.3.1
-
First Demonstration of BEOL-Compatible 3D Fin-Gate Oxide Semiconductor Fe-FETsKong, Qiwen / Liu, Long / Zheng, Zijie / Sun, Chen / Kumar, Annie / Shao, Rui / Zhou, Zuopu / Jiao, Leming / Zhang, Jishen / Xu, Haiwen et al. | 2022
- 12.4.1
-
Transient Thermal and Electrical Co-Optimization of BEOL Top-Gated ALD In₂O₃ FETs on Various Thermally Conductive Substrates Including DiamondLiao, P.-Y. / Alajlouni, S. / Zhang, Z. / Lin, Z. / Si, M. / Noh, J. / Feygelson, T. I. / Tadjer, M. J. / Shakouri, A. / Ye, P. D. et al. | 2022
- 12.5.1
-
First Demonstration of Dual-Gated Indium Tin Oxide Transistors with Record Drive Current ~2.3 mA/μm at L ≈ 60 nm and VDS = 1 VWahid, Sumaiya / Daus, Alwin / Kumar, Aravindh / Philip Wong, H.-S. / Pop, Eric et al. | 2022
- 12.6.1
-
MOL Local Interconnect Innovation: Materials, Process & Systems Co-optimization for 3nm Node and BeyondPal, Ashish / Thareja, Gaurav / Dag, Sefa / Costrini, Gregory / Reddy, Vinod / Xu, Yi / Lei, Yu / Zhang, Aixi / Shen, Gang / Jansen, Alexander et al. | 2022
- 13.1.1
-
Multi-domain Phase-field Modeling of Polycrystalline Hafnia-based (Anti-)ferroelectrics Capable of Representing Defects, Wake-up and FatigueChang, Sou-Chi / Chae, Kisung / Popovici, Mihaela I. / Lin, Chia-Ching / Siddiqui, Saima / Tung, I-Cheng / Bizindavyi, Jasper / Alpizar, Bernal Granados / Haratipour, Nazila / Metz, Matthew et al. | 2022
- 13.2.1
-
Quantitative study of EOT lowering in negative capacitance HfO₂-ZrO₂ superlattice gate stacksHoffmann, M. / Cheema, S. S. / Shanker, N. / Li, W. / Salahuddin, S. et al. | 2022
- 13.3.1
-
Deep insights into the Interplay of Polarization Switching, Charge Trapping, and Soft Breakdown in Metal-Ferroelectric-Metal-Insulator-Semiconductor Structure: Experiment and ModelingWang, Xiaolin / Sun, Chen / Zheng, Zijie / Jiao, Leming / Zhou, Zuopu / Zhang, Dong / Liu, Gan / Kong, Qiwen / Zhang, Jishen / Xu, Haiwen et al. | 2022
- 13.4.1
-
Monte-Carlo Modeling and Characterization of Switching Dynamics for Antiferroelectric/Ferroelectric HZO considering Mechanisms of FatigueChen, Yu-Chen / Hsiang, Kuo-Yu / Lee, Min-Hung / Su, Pin et al. | 2022
- 13.5.1
-
Modeling Fatigue-Breakdown Dilemma in Ferroelectric Hf0.5 Zr0.5 O2 and optimized Programming StrategiesHuang, Hsin-Hui / Cho, Chen-Yi / Lin, Tzu-Yao / Huang, Tz-Shiuan / Wu, Ming-Hung / Wang, I-Ting / Chang, Yu-Kai / Chou, Chen-Han / Liao, Pei-Jean / Yang, Hsin-Yun et al. | 2022
- 13.6.1
-
On the Write Schemes and Efficiency of FeFET 1T NOR Array for Embedded Nonvolatile Memory and BeyondXiao, Yi / Xu, Yixin / Jiang, Zhouhang / Deng, Shan / Zhao, Zijian / Mallick, Antik / Sun, Limeng / Joshi, Rajiv / Li, Xueqing / Shukla, Nikhil et al. | 2022
- 14.1.1
-
Spin Qubits in Silicon FinFET DevicesFuhrer, A. / Aldeghi, M. / Berger, T. / Camenzind, L. C. / Eggli, R. S. / Geyer, S. / Harvey-Collard, P. / Hendrickx, N. W. / Kelly, E.G. / Massai, L. et al. | 2022
- 14.2.1
-
Rare earth based solid-state qubit platformsSingh, M. K. / Ahn, J. / Sullivan, S. E. / Kumar, A. / Zhou, T. / Ji, C. / Grant, G. / Sautter, K. / Holt, M. V. / Dibos, A. M. et al. | 2022
- 14.3.1
-
Josephson parametric amplifiers for rapid, high-fidelity measurement of solid-state qubitsShankar, S. / Hao, Z. / Strickland, W. M. / Hatefipour, M. / Yuan, J. / Shabani, J. et al. | 2022
- 14.4.1
-
Towards Topological Superconducting QubitsSchiela, William F. / Elfeky, Bassel Heiba / Shabani, Javad et al. | 2022
- 14.5.1
-
Potential of diamond solid-state quantum sensorsHatano, M. et al. | 2022
- 14.6.1
-
Hybrid-Magnon Quantum Devices: Strategies and ApproachesLi, Y. / Qian, J.-C. / Jiang, Z.-H. / Lo, T.-H. / Ding, D. / Draher, T. / Polakovic, T. / Pfaff, W. / Schleife, A. / Zuo, J.-M. et al. | 2022
- 15.1.1
-
Performance and Variability-Aware SRAM Design for Gate-All-Around Nanosheets and Benchmark with FinFETs at 3nm Technology NodeRzepa, Gerhard / Bhuwalka, Krishna K. / Baumgartner, Oskar / Leonelli, Daniele / Karner, Hui-Wen / Schanovsky, Franz / Kernstock, Christian / Stanojevic, Zlatan / Wu, Hao / Benistant, Francis et al. | 2022
- 15.2.1
-
Energy-and Area-Efficient 8T SRAM Cell with FEOL CFETs and BEOL-Compatible TransistorsLee, Ming / Huang, Zi-Yuan / Fan, Shao-Fu / Lu, Yu-Cheng / Hu, Vita Pi-Ho et al. | 2022
- 15.3.1
-
Thermal Modelling of GaN & InP RF Devices with Intrinsic Account for Nanoscale Transport EffectsVermeersch, B. / Rodriguez, R. / Sibaja-Hernandez, A. / Vais, A. / Yadav, S. / Parvais, B. / Collaert, N. et al. | 2022
- 15.4.1
-
Simulation Methods of Multi-physics Effects in Nano-scale CMOSLiu, Xiaoyan / Fan, Mengqi / Hu, Yuanzhao / Li, Haoling / Liu, Fei / Kang, Jinfeng et al. | 2022
- 15.5.1
-
Multi-Scale Thermal Modeling of RRAM-based 3D Monolithic-Integrated Computing-in-Memory ChipsMa, Awang / Gao, Bin / Liu, Yuyi / Yao, Peng / Liu, Zhengwu / Du, Yiwei / Li, Xinyi / Xu, Feng / Hao, Zhenqi / Tang, Jianshi et al. | 2022
- 15.6.1
-
Towards accurate and efficient process simulations based on atomistic and neural network approachesLi, L. / Agrawal, M. / Yeh, S. Y. / Lam, K. T. / Wu, J. / Magyari-Kope, B. et al. | 2022
- 16.1.1
-
XBAW, An Enabling Technology for Next Generation Resonators and Filter Solutions for 5G and Wi-Fi 6/6E/7 applications (Invited)Vetury, Ramakrishna / Kochhar, Abhay S. / Shealy, Jeffrey B. et al. | 2022
- 16.2.1
-
3D Monolithic Integration of ScAlN-based GHz MEMS Acoustic Filters on 200mm RFSOI WaferZhang, Y. / Wang, X. / Liu, C. / Woo, E. Y. Z. / Yang, W. / Zhang, Q. / Lin, H. / Yan, D. / Kumarasamy, R. M. / Chen, B. et al. | 2022
- 16.3.1
-
Multiple Stable Oscillators Referenced to the Same Multimode AlN/Si MEMS Resonator with Mode-Dependent Phase Noise and Frequency StabilityKaisar, Tahmid / Yousuf, S M Enamul Hoque / Lee, Jaesung / Qamar, Afzaal / Rais-Zadeh, Mina / Mandal, Soumyajit / Feng, Philip X.-L. et al. | 2022
- 16.4.1
-
57 GHz Acoustic Resonator with k2 of 7.3 % and Q of 56 in Thin-Film Lithium NiobateKramer, Jack / Cho, Sinwoo / Liao, Michael E. / Huynh, Kenny / Barrera, Omar / Matto, Lezli / Goorsky, Mark S. / Lu, Ruochen et al. | 2022
- 16.5.1
-
Bit Rate-Adapting ResoswitchJin, Qiutong / Zheng, Kevin / Nguyen, Clark T.-C. et al. | 2022
- 17.1.1
-
Advances in Electronic Nano-biosensors and New Frontiers in BioengineeringChen, Sihan / Hwang, Michael T. / Wang, Jiaojiao / Ganguli, Anurup / Park, Insu / Kim, Yongdeok / Valera, Enrique / Nam, SungWoo / Aluru, Narayana R. / Van Der Zande, Arend M. et al. | 2022
- 17.2.1
-
Single-molecule field-effect transistors: carbon nanotube devices for temporally encoded biosensingLynall, D. / Shepard, K. L. et al. | 2022
- 17.3.1
-
Wafer-scale biologically sensitive carbon nanotube transistors: from fabrication to clinical applicationsXiao, Mengmeng / Zhang, Zhiyong et al. | 2022
- 17.4.1
-
The Nanopore-FET as a High-Throughput Barcode Molecule Reader for Single-Molecule Omics and Read-out of DNA Digital Data StorageMartens, Koen / Barge, David / Liu, Lijun / Santermans, Sybren / Stoquart, Colin / Delport, Jacobus / Willems, Kherim / Ruic, Dino / Marion, Sanjin / Gevers, Juliette et al. | 2022
- 17.5.1
-
DNA Storage: Synthesis and Sequencing Semiconductor TechnologiesLavenier, Dominique et al. | 2022
- 17.6.1
-
Bacterial nanopores open the future of data storageCao, Chan / Krapp, Lucien F. / Agerova, Alissa / Ouahabi, Abdelaziz Al / Radenovic, Aleksandra / Lutz, Jean-Francois / Peraro, Matteo Dal et al. | 2022
- 17.7.1
-
System Design Considerations for Automated Digital Data Storage in DNATakahashi, C. N. et al. | 2022
- 18.1.1
-
A Hybrid Computing-In-Memory Architecture by Monolithic 3D Integration of BEOL CNT/IGZO-based CFET Logic and Analog RRAMAn, Ran / Li, Yijun / Tang, Jianshi / Gao, Bin / Du, Yiwei / Yao, Jian / Li, Yuankun / Sun, Wen / Zhao, Han / Li, Jiaming et al. | 2022
- 18.2.1
-
A > 64 Multiple States and > 210 TOPS/W High Efficient Computing by Monolithic Si/CAAC-IGZO + Super-Lattice ZrO2/Al2 O3/ZrO2 for Ultra-Low Power Edge AI ApplicationChen, M.-C. / Ohshita, S. / Amano, S. / Kurokawa, Y. / Watanabe, S. / Imoto, Y. / Ando, Y. / Hsieh, W.-H. / Chang, C.-H. / Wu, C.-C. et al. | 2022
- 18.3.1
-
Highly Reliable Physical Unclonable Functions using Memristor Crossbar with Tunneling ConductionPark, Jinwoo / Kim, Tae-Hyeon / Kim, Sungjoon / Song, Min Suk / Youn, Sangwook / Hong, Kyungho / Park, Byung-Gook / Kim, Hyungjin et al. | 2022
- 18.4.1
-
Demonstration of a Multi-Level μA-Range Bulk Switching ReRAM and its Application for Keyword SpottingWu, Y. / Cai, F. / Thomas, L. / Liu, T. / Nourbakhsh, A. / Hebding, J. / Smith, E. / Quon, R. / Smith, R. / Kumar, A. et al. | 2022
- 18.5.1
-
New Phase-Change Materials by Atomic-Level Engineering the Dopants for Extremely Low Vth Drift at 85 °C and High Endurance 3D Crosspoint Memory : IBM/Macronix PCRAM Joint ProjectCheng, H. Y. / Grun, A. / Chien, W C. / Yeh, C. W. / Ray, A. / Cheng, C. W. / Lai, E. K. / Lavoie, C. / Gignac, L. / Hopstaken, M. et al. | 2022
- 18.6.1
-
Extremely high performance, high density 20nm self-selecting cross-point memory for Compute Express LinkHong, Seokman / Choi, Hyejung / Park, Jaehyuk / Bae, Yoonchel / Kim, Kyusung / Lee, Wootae / Lee, Seungyoon / Lee, Hyungdong / Cho, Seongrae / Ahn, Joonkoo et al. | 2022
- 18.7.1
-
Engineering defects in pristine amorphous chalcogenides for forming-free low voltage selectorsAmbrosi, E. / Wu, C. H. / Lee, H. Y. / Hsu, C. F. / Lee, C. M. / Vaziri, S. / Datye, I. M. / Chen, Y. Y. / Hou, D. H. / Chang, P. C. et al. | 2022
- 19.1.1
-
Record-low Loss Non-volatile Mid-infrared PCM Optical Phase Shifter based on Ge2 Sb2 Te3 S2Miyatake, Yuto / Makino, Kotaro / Tominaga, Junji / Miyata, Noriyuki / Nakano, Takashi / Okano, Makoto / Toprasertpong, Kasidit / Takagi, Shinich / Takenaka, Mitsuru et al. | 2022
- 19.2.1
-
Monolithic Integration of Top Si3N4 - Waveguided Germanium Quantum-Dots Microdisk Light Emitters and PIN Photodetectors for On-chip Ultrafine SensingLin, Chih-Hsuan / Hong, Po-Yu / Lee, Bing-Ju / Lin, Horng-Chih / George, Thomas / Li, Pei-Wen et al. | 2022
- 19.3.1
-
Colloidal quantum dot image sensors: a new vision for infraredMalinowski, P. E. / Pejovic, Vladimir / Georgitzikis, Epimitheas / Kim, Joo Hyoung / Lieberman, Itai / Papadopoulos, Nikolas / Lim, Myung Jin / Hagelsieb, Luis Moreno / Chandrasekaran, Naresh / Puybaret, Renaud et al. | 2022
- 19.4.1
-
Grating – Resonance InGaAs Narrowband Photodetector for Multispectral Detection in NIR – SWIR RegionJang, Junho / Shim, Joonsup / Lim, Jinha / Park, Gyeong Cheol / Kim, Jongmin / Geum, Dae-Myeong / Kim, SangHyeon et al. | 2022
- 19.5.1
-
Alleviating the Responsivity-Speed Dilemma of Photodetectors via Opposite Photogating Engineering with an Auxiliary Light Source beyond the ChipZou, Yanni / Zeng, Yan / Tan, Pengju / Zhao, Xiaolong / Zhou, Xuanze / Hou, Xiaohu / Zhang, Zhongfang / Ding, Mengfan / Yu, Shunjie / Huang, Hong et al. | 2022
- 20.1.1
-
Heterogeneous 3D Sequential CFET with Ge (110) Nanosheet p-FET on Si (100) bulk n-FET by Direct Wafer BondingKim, Seong Kwang / Lim, Hyeong-Rak / Jeong, Jaejoong / Lee, Seung Woo / Kim, Joon Pyo / Jeong, Jaeyoung / Kim, Bong Ho / Ahn, Seung-Yeop / Park, Youngkeun / Geum, Dae-Myoung et al. | 2022
- 20.2.1
-
First Demonstration of Heterogeneous L-shaped Field Effect Transistor (LFET) for Angstrom Technology NodesYang, C.-Y. / Sung, P.-J. / Chuang, M.-H. / Chang, C.-W. / Shih, Y.-J. / Huang, T.-Y. / Lu, D. D. / Hong, T.-C. / Yu, X.-R. / Lu, W.-H. et al. | 2022
- 20.3.1
-
First Demonstration of Monolithic 3D Self-aligned GeSi Channel and Common Gate Complementary FETs by CVD Epitaxy Using Multiple P/N Junction IsolationTu, Chien-Te / Liu, Yi-Chun / Huang, Bo-Wei / Chen, Yu-Rui / Hsieh, Wan-Hsuan / Tsai, Chung-En / Chueh, Shee-Jier / Cheng, Chun-Yi / Ma, Yichen / Liu, C. W. et al. | 2022
- 20.5.1
-
Integration Design and Process of 3-D Heterogeneous 6T SRAM with Double Layer Transferred Ge/2Si CFET and IGZO Pass Gates for 42% Reduced Cell SizeYu, X.-R. / Chuang, M.-H. / Chang, S.-W. / Chang, W.-H. / Hong, T.-C. / Chiang, C.-H. / Lu, W.-H. / Yang, C.-Y. / Chen, W.-J. / Lin, J.-H. et al. | 2022
- 20.6.1
-
Top-Gate CVD WSe2 pFETs with Record-High Id~594 μA/μm, Gm~244 μS/μm and WSe2/MoS2 CFET based Half-adder Circuit Using Monolithic 3D IntegrationXiong, Xiong / Liu, Shiyuan / Liu, Honggang / Chen, Yang / Shi, Xinhang / Wang, Xin / Li, Xuefei / Huang, Ru / Wu, Yanqing et al. | 2022
- 21.1.1
-
Training-to-Learn with Memristive DevicesYu, Zhenming / Leroux, Nathan / Neftci, Emre et al. | 2022
- 21.2.1
-
Energy-efficient activity-driven computing architectures for edge intelligenceLiu, Shih-Chii / Gao, Chang / Kim, Kwantae / Delbruck, Tobi et al. | 2022
- 21.3.1
-
Multistable neuromorphic computing: controlling attractor switches using waveformsChang, J. / Paydarfar, D. / Milton, J. et al. | 2022
- 21.4.1
-
Life is probabilistic — why should all our computers be deterministic? Computing with p-bits: Ising Solvers and BeyondKaiser, J. / Datta, S. / Behin-Aein, B. et al. | 2022
- 21.5.1
-
Ferroelectric FET Configurable Memory Arrays and Their ApplicationsReis, Dayane / Laguna, Ann Franchesca / Li, Mengyuan / Niemier, Michael / Hu, X. Sharon et al. | 2022
- 21.6.1
-
Scalable In-Memory Computing Architectures for Sparse Matrix MultiplicationKendall, Jack D. / Conklin, Alexander A. / Pantone, Ross / Nino, Juan C. / Kumar, Suhas et al. | 2022
- 21.7.1
-
Subthreshold operation of SONOS analog memory to enable accurate low-power neural network inferenceAgrawal, V. / Xiao, T. P. / Bennett, C. H. / Feinberg, B. / Shetty, S. / Ramkumar, K. / Medu, H. / Thekkekara, K. / Chettuvetty, R. / Leshner, S. et al. | 2022
- 21.8.1
-
Analog Compute-in-Memory For AI Edge InferenceFick, D. et al. | 2022
- 22.1.1
-
Methodology for an efficient characterization flow of industrial grade Si-based qubit devicesContamin, L.C. / Paz, B. Cardoso / Diaz, B. Martinez / Bertrand, B. / Niebojewski, H. / Labracherie, V. / Sadik, A. / Catapano, E. / Casse, M. / Nowak, E. et al. | 2022
- 22.2.1
-
An efficient synchronous-updating memristor-based Ising solver for combinatorial optimizationJiang, Mingrui / Shan, Keyi / Sheng, Xia / Graves, Cat / Strachan, John Paul / Li, Can et al. | 2022
- 22.3.1
-
Enhancement in Capacitance and Transconductance in 90 nm nFETs with HfO2-ZrO2 Superlattice Gate Stack for Energy-efficient Cryo-CMOSLi, W. / Wang, L. C. / Cheema, S. S. / Shanker, N. / Hu, C. / Salahuddin, S. et al. | 2022
- 22.4.1
-
Experimental evaluation of simulated quantum annealing with MTJ-augmented p-bitsGrimaldi, Andrea / Selcuk, Kemal / Aadit, Navid Anjum / Kobayashi, Keito / Cao, Qixuan / Chowdhury, Shuvro / Finocchio, Giovanni / Kanai, Shun / Ohno, Hideo / Fukami, Shunsuke et al. | 2022
- 22.5.1
-
Scalable In-Memory Clustered Annealer with Temporal Noise of FinFET for the Travelling Salesman ProblemLu, Anni / Hur, Jae / Luo, Yuan-Chun / Li, Hai / Nikonov, Dmitri E. / Young, Ian / Choi, Yang-Kyu / Yu, Shimeng et al. | 2022
- 22.6.1
-
Steep-Slope Negative Quantum Capacitance Field-Effect TransistorYang, Yafen / Zhang, Kai / Gu, Yi / Raju, Parameswari / Li, Qiliang / Ji, Li / Chen, Lin / Ioannou, Dimitris E. / Sun, Qingqing / Zhang, David Wei et al. | 2022
- 22.7.1
-
ITO Schottky Diode wth Record fT Beyond 400 GHz: Exploring Thickness Depdendant Film Property and Novel Heterogeneous DesignHan, Kaizhen / Wang, Chengkuan / Kang, Yuye / Liu, Long / Zhang, Gong / Chen, Yue / Gong, Xiao et al. | 2022
- 23.1.1
-
Forksheet FETs with Bottom Dielectric Isolation, Self-Aligned Gate Cut, and Isolation between Adjacent Source-Drain StructuresMertens, H. / Ritzenthaler, R. / Oniki, Y. / Gowda, P. Puttarame / Mannaert, G. / Sebaai, F. / Hikavyy, A. / Rosseel, E. / Dupuy, E. / Peter, A. et al. | 2022
- 23.2.1
-
Semi-damascene Integration of a 2-layer MOL VHV Scaling Booster to Enable 4-track Standard CellsVega-Gonzalez, V. / Radisic, D. / Choudhury, S. / Tierno, D. / Thiam, A. / Batuk, D. / Martinez, G.T. / Seidel, F. / Decoster, S. / Kundu, S. et al. | 2022
- 23.3.1
-
Insights into Scaled Logic Devices Connected from Both Wafer SidesVeloso, A. / Eneman, G. / Matagne, P. / Vermeersch, B. / Jourdain, A. / Arimura, H. / O'Sullivan, B. / Chen, R. / De Keersgieter, A. / Simoen, E. et al. | 2022
- 23.4.1
-
Power, Performance, Area and Thermal Analysis of 2D and 3D ICs at A14 Node Designed with Back-side Power Delivery NetworkChen, R. / Lofrano, M. / Mirabelli, G. / Sisto, G. / Yang, S. / Jourdain, A. / Schleicher, F. / Veloso, A. / Zografos, O. / Weckx, P. et al. | 2022
- 23.5.1
-
Cryo-Computing for Infrastructure Applications: A Technology-to-Microarchitecture Co-optimization StudyPrasad, Divya / Vangala, Manoj / Bhargava, Mudit / Beckers, Arnout / Grill, Alexander / Tierno, Davide / Nathella, Krishnendra / Achuthan, Thanusree / Pietromonaco, David / Myers, James et al. | 2022
- 23.6.1
-
AI Computing in Light of 2.5D Interconnect Roadmap: Big-Little Chiplets for In-memory AccelerationWang, Zhenyu / Nair, Gopikrishnan Raveendran / Krishnan, Gokul / Mandal, Sumit K. / Cherian, Ninoo / Seo, Jae-Sun / Chakrabarti, Chaitali / Ogras, Umit Y. / Cao, Yu et al. | 2022
- 24.1.1
-
Biochemical spectroscopy based on germanium-on-insulator platform for mid-infrared optical sensorLim, Jinha / Shim, Joonsup / Kim, Inki / Kim, Seong Kwang / Lim, Hyeongrak / Ahn, Seung-Yeop / Park, Juhyuk / Geum, Dae-Myeong / Kim, SangHyeon et al. | 2022
- 24.2.1
-
High-Resolution DNA Binding Kinetics Measurements with Double Gate FD-SOI TransistorsCho, Seulki / Zaslavsky, Alexander / Richter, Curt A. / Majikes, Jacob M. / Liddle, J. Alexander / Andrieu, Francois / Barraud, Sylvain / Balijepalli, Arvind et al. | 2022
- 24.3.1
-
Flexible, Transparent, Active-Matrix Tactile Sensor Interface Enabled by Solution-Processed Oxide TFTsTang, Yingjie / Li, Dingwei / Wang, Yan / Li, Fanfan / Chen, Yitong / Liang, Kun / Ren, Huihui / Song, Chunyan / Wang, Hong / Zhu, Bowen et al. | 2022
- 24.4.1
-
Spike-based Beamforming using pMUT Arrays for Ultra-Low Power Gesture RecognitionHardy, E. / Fain, B. / Mesquida, T. / Blard, F. / Gardien, F. / Rummens, F. / Bastien, J.C. / Chatroux, J.R. / Martin, S. / Rat, V. et al. | 2022
- 24.5.1
-
Novel Energy-efficient Hafnia-based Ferroelectric Processing-in-Sensor with in-situ Motion Detection and Four-quarter MutipilcationFu, Zhiyuan / Wang, Kaifeng / Fu, Boyi / Xu, Shaodi / Zheng, Hao / Luo, Jin / Su, Chang / Xu, Weikai / Lv, Xiao / Huang, Qianqian et al. | 2022
- 26.1.1
-
3D NAND Scaling in the next decadeMeyer, Russ / Fukuzumi, Yoshiaki / Dong, Yingda et al. | 2022
- 26.2.1
-
FinFETs with Thermally Stable RMG Gate Stack for Future DRAM Peripheral CircuitsCapogreco, E. / Arimura, H. / Ritzenthaler, R. / Brus, S. / Oniki, Y. / Dupuy, E. / Sebaai, F. / Radisic, D. / Chan, B. T. / Zhou, D. et al. | 2022
- 26.3.1
-
A 3D Stackable DRAM: Capacitor-less Three-Wordline Gate-Controlled Thyristor (GCT) RAM with >40 μ A Current Sensing Window, >1010 Endurance, and 3-second Retention at Room TemperatureChen, Wei-Chen / Lue, Hang-Ting / Wu, Meng-Yan / Yeh, Teng-Hao / Du, Pei-Ying / Hsu, Tzu-Hsuan / Hsieh, Chih-Chang / Wang, Keh-Chung / Lu, Chih-Yuan et al. | 2022
- 26.4.1
-
First Demonstration of Dual-Gate IGZO 2T0C DRAM with Novel Read Operation, One Bit Line in Single Cell, ION=1500 μA/μm@VDS=1V and Retention Time>300sLu, Wendong / Zhu, Zhengyong / Chen, Kaifei / Liu, Menggan / Kang, Bok-Moon / Duan, Xinlv / Niu, Jiebin / Liao, Fuxi / Dan, Wang / Wu, Xie-Shuai et al. | 2022
- 26.5.1
-
Inter-Layer Dielectric Engineering for Monolithic Stacking 4F2-2 T0C DRAM with Channel-All-Around (CAA) IGZO FET to Achieve Good Reliability (>104 s Bias Stress, >1012 Cycles Endurance)Chen, Chuanke / Duan, Xinlv / Yang, Guanhua / Lu, Congyan / Geng, Di / Li, Ling / Liu, Ming et al. | 2022
- 26.6.1
-
Optimized IGZO FETs for Capacitorless DRAM with Retention of 10 ks at RT and 7 ks at 85 °C at Zero Vhold with Sub-10 ns Speed and 3-bit OperationHu, Qianlan / Li, Qijun / Zhu, Shenwu / Gu, Chengru / Liu, Shiyuan / Huang, Ru / Wu, Yanqing et al. | 2022
- 27.1.1
-
Critical Process Features Enabling Aggressive Contacted Gate Pitch Scaling for 3nm CMOS Technology and BeyondChang, Chih-Hao / Chang, V.S. / Pan, K.H. / Lai, K.T. / Lu, J. H. / Ng, J.A. / Chen, C.Y. / Wu, B.F. / Lin, C.J. / Liang, C.S. et al. | 2022
- 27.2.1
-
18nm FDSOI Enhanced Device Platform for ULP/ULL MCUsWeber, Olivier / Min, Doohong / Villaret, Alexandre / Park, Jinha / Lee, Ilmin / Vandenbossche, Eric / Kim, Dohun / Yun, Jiyoung / Park, Jinwoo / Lee, Minuk et al. | 2022
- 27.3.1
-
Enabling Next Generation 3D Heterogeneous Integration Architectures on Intel ProcessElsherbini, A. / Jun, K. / Liff, S. / Talukdar, T. / Bielefeld, J. / Li, W. / Vreeland, R. / Niazi, H. / Rawlings, B. / Ajayi, T. et al. | 2022
- 27.4.1
-
High Performance 5G mobile SoC Design-Technology Co-Optimization for PPA and Manufacturability with 5nm EUV FinFET technologySuh, Youseok / Bao, Jerry / Lin, Vicki / Kuo, Wade / Kim, Leo / Chen, Ying / Wang, Hao / Gao, Yandong / Cheng, Jason / Wang, Xiao-Yong et al. | 2022
- 27.5.1
-
A 3nm CMOS FinFlex™ Platform Technology with Enhanced Power Efficiency and Performance for Mobile SoC and High Performance Computing ApplicationsWu, Shien-Yang / Chang, C.H. / Chiang, M.C. / Lin, C.Y. / Liaw, J.J. / Cheng, J.Y. / Yeh, J.Y. / Chen, H.F. / Chang, S.Y. / Lai, K.T. et al. | 2022
- 28.1.1
-
Computational Screening and Multiscale Simulation of Barrier-Free Contacts for 2D Semiconductor pFETsYang, Ning / Lin, Yuxuan Cosmi / Chuu, Chih-Piao / Rahman, Saifur / Wu, Tong / Chou, Ang-Sheng / Liew, San-Lin / Fujiwara, Kohei / Chen, Hung-Yu / Ikeda, Junya et al. | 2022
- 28.2.1
-
Ab-initio transport simulations unveil the Schottky versus Tunneling barrier trade-off in metal-TMD contactsLizzit, D. / Khakbaz, P. / Driussi, F. / Pala, M. / Esseni, D. et al. | 2022
- 28.3.1
-
Ab initio quantum transport simulations of defective devices based on 2-D materials via a projected-GW approachGandus, G. / Cao, J. / Agarwal, T. / Luisier, M. / Lee, Y. et al. | 2022
- 28.4.1
-
Comprehensive Physics Based TCAD Model for 2D MX2 Channel TransistorsSathaiya, D. Mahaveer / Hung, Terry Y.T. / Chen, Edward / Wu, Wen-Chia / Wei, Aslan / Chuu, Chih-Piao / Su, Sheng-Kai / Chou, Ang Sheng / Chung, Cheng-Ting / Chien, Chao-Hsin et al. | 2022
- 28.5.1
-
Characterization and Closed-Form Modeling of Edge/Top/Hybrid Metal-2D Semiconductor ContactsPal, Arnab / Mishra, Varun / Weber, Justin / Krishnaswamy, Karthik / Ghosh, Krishnendu / Penumatcha, Ashish Verma / Berrada, Salim / O'Brien, Kevin / Kencke, David / Banerjee, Kaustav et al. | 2022
- 29.1.1
-
Optogenetic Neural Probes: Fiberless, High-Density, Artifact-Free Neuromodulation : (Invited)Ko, Eunah / Kim, Kanghwan / Voroslakos, Mihaly / Oh, Sungjin / Buzsaki, Gyorgy / Wise, Kensall D. / Yoon, Euisk et al. | 2022
- 29.2.1
-
Soft Wireless Optogenetic and Hybrid Implants for Advanced Neural InterfacingJeong, J.-W. et al. | 2022
- 29.3.1
-
Bilayer-Nanomesh Transparent Neuroelectrodes on 10 μ m -Thick PDMSRyu, Jaehyeon / Qiang, Yi / Jang, Dongyeol / Suh, Junyeub / Fang, Hui et al. | 2022
- 29.4.1
-
Biodegradable Implantable MicrosystemsPark, J. / Brugger, J. et al. | 2022
- 29.5.1
-
The Future of Holistic Neural Interfaces: 2D Materials, Neuromorphic Computing, and Computational Co-DesignWilson, M. / Ramezani, M. / Kim, J. / Kuzum, D. et al. | 2022
- 29.6.1
-
Channels, Layout and Size Scalability of Implantable CMOS-Based Multielectrode Array ProbesRibeiro, J.F. / Angotzi, G. / Perna, A. / Vincenzi, M. / Orban, G. / Boi, F. / Berdondini, L. et al. | 2022
- 29.7.1
-
Increasing the Lifetime of the Implantable Neural DevicesNguyen, C. K. / Srikanthan, B. / Bhandari, R. / Cogan, S F. / Negi, S. et al. | 2022
- 29.8.1
-
A Transient, Closed-Loop Network of Wireless, Body-Integrated Devices for Autonomous ElectrotherapyRogers, J.A. et al. | 2022
- 30.1.1
-
Characterizing and Modelling of the BTI Reliability in IGZO-TFT using Light-assisted I-V SpectroscopyWu, Z. / Chasin, A. / Franco, J. / Subhechha, S. / Dekkers, H. / Bhuvaneshwari, Y.V. / Belmonte, A. / Rassoul, N. / van Setten, M.J. / Afanas'Ev, V. et al. | 2022
- 30.2.1
-
New Insights into the Impact of Hydrogen Evolution on the Reliability of IGZO FETs: Experiment and ModelingKong, Qiwen / Liu, Gan / Sun, Chen / Zheng, Zijie / Zhang, Dong / Zhang, Jishen / Xu, Haiwen / Liu, Long / Zhou, Zuopu / Jiao, Leming et al. | 2022
- 30.3.1
-
Reliability of Atomic-Layer-Deposited Gate-All-Around In2O3 Nano-Ribbon Transistors with Ultra-High Drain CurrentsZhang, Z. / Lin, Z. / Charnas, A. / Dou, H. / Shang, Z. / Zhang, J. / Si, M. / Wang, H. / Alam, M. A. / Ye, P. D. et al. | 2022
- 30.4.1
-
Low thermal budget PBTI and NBTI reliability solutions for multi-Vth CMOS RMG stacks based on atomic oxygen and hydrogen treatmentsFranco, J. / Arimura, H. / De Marneffe, J.-F. / Claes, D. / Brus, S. / Vandooren, A. / Litta, E. Dentoni / Horiguchi, N. / Croes, K. / Kaczer, B. et al. | 2022
- 30.5.1
-
New insights on the excess 1/f noise at cryogenic temperatures in 28 nm CMOS and Ge MOSFETs for quantum computing applicationsAsanovski, R. / Grill, A. / Franco, J. / Palestri, P. / Beckers, A. / Kaczer, B. / Selmi, L. et al. | 2022
- 30.6.1
-
Back Barrier Trapping Induced Resistance Dispersion in GaN HEMT: Mechanism, Modeling, and SolutionsYu, Hao / Parvais, B. / Peralagu, U. / ElKashlan, R. Y. / Rodriguez, R. / Khaled, A. / Yadav, S. / Alian, A. / Zhao, M. / De Almeida Braga, N. et al. | 2022
- 30.7.1
-
Comprehensive Investigations of HBM ESD Robustness for GaN-on-Si RF HEMTsAbhinay, S. / Wu, W.-M. / Shih, C.-A. / Chen, S.-H. / Sibaja-Hernandez, A. / Parvais, B. / Peralagu, U. / Alian, A. / Wu, T.-L. / Ker, M.-D. et al. | 2022
- 30.8.1
-
Novel all-around diamond integration with GaN HEMTs demonstrating highly efficient device coolingSoman, R. / Malakoutian, M. / Shankar, B. / Field, D. / Akso, E. / Hatui, N. / Hines, N. J. / Graham, S. / Mishra, U. K. / Kuball, M. et al. | 2022
- 31.1.1
-
Towards Functional Metamaterials and MetadevicesZhang, Xin / Yang, Zhiwei / Huang, Yuwei et al. | 2022
- 31.2.1
-
Innovative Gas Sensing Method Using Transient Behavior of FET-type Sensors with Gate Pulse InputJung, Gyuweon / Kim, Jaehyeon / Shin, Wonjun / Hong, Seongbin / Jeong, Yujeong / Lee, Chayoung / Choi, Woo Young / Lee, Jong-Ho et al. | 2022
- 31.4.1
-
A 2T2R1C vision cell with 140 dB dynamic range and event-driven characteristics for in-sensor spiking neural networkZhou, Yue / Fu, Jiawei / Wan, Tianqing / Xu, Lin / Ma, Sijie / Chen, Jiewei / Miao, Xiangshui / He, Yuhui / Chai, Yang et al. | 2022
- 31.5.1
-
A New Self-Powered Wireless Sensing Circuitry for On-Wafer In-Situ EUV DetectionLin, Wei-Hwa / Chen, Li Ci / Ho, Ming-Han / Chen, Hong-Shen / Hu, Yu-Lun / Lin, Burn Jeng / Wu, Pin-Jiun / Liu, Jenny Yi-Chun / Chih, Yue-Der / Chang, Jonathen et al. | 2022
- 32.1.1
-
Highly Reliable, Scalable, and High-Yield HfZrOx FRAM by Barrier Layer Engineering and Post-Metal AnnealingLin, Yu-De / Yeh, Po-Chun / Dai, Jheng-Yang / Su, Jian-Wei / Huang, Hsin-Hui / Cho, Chen-Yi / Tang, Ying-Tsan / Hou, Tuo-Hung / Sheu, Shyh-Shyuan / Lo, Wei-Chung et al. | 2022
- 32.2.1
-
Deep Understanding of Reliability in Hf-based FeFET during Bipolar Pulse Cycling: Trap Profiling for Read-After-Write Delay and Memory Window DegradationCai, Puyang / Zhu, Tianxiang / Duan, Jiahui / Sun, Zixuan / Li, Hao / Xue, Yongkang / Liu, Zhiwei / Xu, Hao / Zhang, Liangliang / Wang, Xiaolei et al. | 2022
- 32.3.1
-
Temperature-dependent Defect Behaviors in Ferroelectric Hf0.5Zr0.5O2 Thin Film: Re-wakeup Phenomenon and Underlying MechanismsLi, Xiaopeng / Wu, Jixuan / Tai, Lu / Wei, Wei / Sang, Pengpeng / Feng, Yang / Chen, Bo / Zhao, Guoqing / Zhan, Xuepeng / Wang, Xiaolei et al. | 2022
- 32.4.1
-
Direct Quantitative Extraction of Internal Variables from Measured PUND Characteristics Providing New Key Insights into Physics and Performance of Silicon and Oxide Channel Ferroelectric FETsPasslack, Matthias / Tasneem, Nujhat / Wang, Zheng / Aabrar, Khandker A. / Hur, Jae / Chen, Hang / Hou, Vincent D.-H. / Chang, Chih-Sheng / Chang, Meng-Fan / Yu, Shimeng et al. | 2022
- 32.5.1
-
Novel Opposite Polarity Cycling Recovery (OPCR) of HfZrO2 Antiferroelectric-RAM with an Access Scheme Toward Unlimited EnduranceHsiang, K.-Y. / Chen, Y.-C. / Chang, F.-S. / Lin, C.-Y. / Liao, C.-Y. / Lou, Z.-F. / Lee, J.-Y. / Ray, W.-C. / Li, Z.-X. / Wang, C.-C. et al. | 2022
- 32.6.1
-
Investigation of Defect Engineering Toward Prolonged Endurance for HfZrO Based Ferroelectric DeviceLee, J.H. / Chou, C.H. / Liao, P.J. / Chang, Y.K. / Huang, H.H. / Lin, T.Y. / Liu, Y.S. / Nien, C.H. / Hou, D.H. / Hou, T.H. et al. | 2022
- 32.7.1
-
Total Ionizing Dose Effect in Tri-gate Silicon Ferroelectric Transistor MemoryAabrar, Khandker Akif / Read, James / Kirtania, Sharadindu Gopal / Stepanoff, Sergei / Wolfe, Douglas E. / Yu, Shimeng / Datta, S. et al. | 2022
- 32.8.1
-
The Role of Interface Dynamics on the Reliability Performance of BEOL Integrated Ferroelectric HfO2 CapacitorsAlcala, R. / Lomenzo, P.D. / Mittmann, T. / Xu, B. / Guido, R. / Lancaster, S. / Vishnumurthy, P. / Grenouillet, L. / Martin, S. / Coignus, J. et al. | 2022
- 33.1.1
-
Gradient descent-based programming of analog in-memory computing coresBuchel, J. / Vasilopoulos, A. / Kersting, B. / Odermatt, F. / Brew, K. / Ok, I. / Choi, S. / Saraf, I. / Chan, V. / Philip, T. et al. | 2022
- 33.2.1
-
Hybrid Precoding with a Fully-Parallel Large-Scale Analog RRAM Array for 5G/6G MIMO Communication SystemQin, Qi / Gao, Bin / Liu, Qi / Liu, Zhengwu / Lin, Yudeng / Yao, Peng / Zhou, Ying / Yu, Ruihua / Hao, Zhenqi / Tang, Jianshi et al. | 2022
- 33.3.1
-
Analog Computing in Memory (CIM) Technique for General Matrix Multiplication (GEMM) to Support Deep Neural Network (DNN) and Cosine Similarity Search Computing using 3D AND-type NOR Flash DevicesWei, Ming-Liang / Lue, Hang-Ting / Ho, Shu-Yin / Lin, Yen-Po / Hsu, Tzu-Hsuan / Hsieh, Chih-Chang / Li, Yung-Chun / Yeh, Teng-Hao / Chen, Shih-Hung / Jhu, Yi-Hao et al. | 2022
- 33.4.1
-
MRAM In-memory computing macro for AI computingJung, Seungchul / Kim, Sang Joon et al. | 2022
- 33.5.1
-
First Demonstration of Homomorphic Encryption using Multi-Functional RRAM Arrays with a Novel Noise-Modulation SchemeLi, Xueqi / Gao, Bin / Lin, Bohan / Yu, Ruihua / Zhao, Han / Wang, Ze / Qin, Qi / Tang, Jianshi / Zhang, Qingtian / Li, Xinyi et al. | 2022
- 33.6.1
-
An Analog In-Memory-Search Solution based on 3D-NAND Flash Memory for Brain-Inspired ComputingTseng, Po-Hao / Lin, Yu-Hsuan / Bo, Tian-Cih / Lee, Feng-Ming / Lin, Yu-Yu / Lee, Ming-Hsiu / Hsieh, Kuang-Yeu / Wang, Keh-Chung / Lu, Chih-Yuan et al. | 2022
- 33.7.1
-
Deep learning acceleration in 14nm CMOS compatible ReRAM array: device, material and algorithm co-optimizationGong, N. / Rasch, M.J. / Seo, S.-C. / Gasasira, A. / Solomon, P. / Bragaglia, V. / Consiglio, S. / Higuchi, H. / Park, C. / Brew, K. et al. | 2022
- 34.1.1
-
Low temperature source/drain epitaxy and functional silicides: essentials for ultimate contact scalingPorret, C. / Everaert, J.-L. / Schaekers, M. / Ragnarsson, L.-A. / Hikavyy, A. / Rosseel, E. / Rengo, G. / Loo, R. / Khazaka, R. / Givens, M. et al. | 2022
- 34.2.1
-
Record 7(N)+7(P) Multiple VTs Demonstration on GAA Si Nanosheet n/pFETs using WFM-Less Direct Interfacial La/Al-Dipole TechniqueYao, Jiaxin / Wei, Yanzhao / Yang, Shuai / Yang, Hong / Xu, Gaobo / Zhang, Yadong / Cao, Lei / Zhang, Xuexiang / Liu, Qianqian / Wu, Zhenhua et al. | 2022
- 34.3.1
-
CMOS Demonstration of Negative Capacitance HfO2-ZrO2 Superlattice Gate Stack in a Self-Aligned, Replacement Gate ProcessShanker, N. / Cook, M. / Cheema, S.S. / Li, W. / Rastogi, R. / Pipitone, D. / Chen, C. / Smith, M. / Meninger, S. / Bauer, F. et al. | 2022
- 34.4.1
-
Hardware Based Performance Assessment of Vertical-Transport Nanosheet TechnologyTsutsui, G. / Song, S. / Strane, J. / Xie, R. / Qin, L. / Zhang, C. / Schmidt, D. / Fan, S. / Hong, B. / Jung, Y. et al. | 2022
- 34.5.1
-
First Demonstration of GAA Monolayer-MoS2 Nanosheet nFET with 410μA μ m ID 1V VD at 40nm gate lengthChung, Yun-Yan / Chou, Bo-Jhih / Hsu, Chen-Feng / Yun, Wei-Sheng / Li, Ming-Yang / Su, Sheng-Kai / Liao, Yu-Tsung / Lee, Meng-Chien / Huang, Guo-Wei / Liew, San-Lin et al. | 2022
- 34.6.1
-
FDSOI for cryoCMOS electronics: device characterization towards compact modelCasse, M. / Paz, B. Cardoso / Bergamaschi, F. / Ghibaudo, G. / Serra, F. / Billiot, G. / Jansen, A. G. M. / Berlingard, Q. / Martinie, S. / Bedecarrats, T. et al. | 2022
- 35.1.1
-
Scaled Submicron Field-Plated Enhancement Mode High-K Gallium Nitride Transistors on 300mm Si(111) Wafer with Power FoM (RON xQGG) of 3.1 mohm-nC at 40V and fT/fMAX of 130/680GHzThen, Han Wui / Radosavljevic, M. / Koirala, P. / Beumer, M. / Bader, S. / Zubair, A. / Hoff, T. / Jordan, R. / Michaelos, T. / Peck, J. et al. | 2022
- 35.2.1
-
1200V GaN Switches on Sapphire: A low-cost, high-performance platform for EV and industrial applicationsGupta, G. / Kanamura, M. / Swenson, B. / Neufeld, C. / Hosoda, T. / Parikh, P. / Lal, R. / Mishra, U. et al. | 2022
- 35.3.1
-
Highly-Scaled Self-Aligned GaN Complementary Technology on a GaN-on-Si PlatformXie, Qingyun / Yuan, Mengyang / Niroula, John / Greer, James A. / Rajput, Nitul S. / Chowdhury, Nadim / Palacios, Tomas et al. | 2022
- 35.4.1
-
Hybrid Gate p-GaN Power HEMTs Technology for Enhanced Vth StabilityZhang, Chi / Li, Sheng / Liu, Siyang / Lu, Weihao / Ma, Yanfeng / Wei, Jiaxing / Zhang, Long / Sun, Weifeng / Wang, Denggui / Zhou, Jianjun et al. | 2022
- 35.6.1
-
First Demonstration of Vertical Superjunction Diode in GaNXiao, Ming / Ma, Yunwei / Du, Zhonghao / Qin, Yuan / Liu, Kai / Cheng, Kai / Udrea, Florin / Xie, Andy / Beam, Edward / Wang, Boyan et al. | 2022
- 35.7.1
-
Current Status and Future Prospects of GaN-on-GaN Vertical Power DevicesSuda, J. et al. | 2022
- 36.1.1
-
Scalable Ising Computer Based on Ultra-Fast Field-Free Spin Orbit Torque Stochastic Device with Extreme 1-Bit QuantizationYin, Jialiang / Liu, Yu / Zhang, Bolin / Du, Ao / Gao, Tianqi / Ma, Xiangyue / Dong, Yi / Bai, Yue / Lu, Shiyang / Zhuo, Yudong et al. | 2022
- 36.2.1
-
First demonstration of field-free perpendicular SOT-MRAM for ultrafast and high-density embedded memoriesCai, K. / Talmelli, G. / Fan, K. / Van Beek, S. / Kateel, V. / Gupta, M. / Monteiro, M.G. / Chroud, M. Ben / Jayakumar, G. / Trovato, A. et al. | 2022
- 36.3.1
-
Magnetic skyrmions for unconventional embedded computing applicationsButtner, F. et al. | 2022
- 36.4.1
-
Low-voltage and high-speed switching of a magnetoelectric element for energy efficient computeDebashis, Punyashloka / Plombon, John J. / Lin, Chia-Ching / Liao, Yu-Ching / Li, Hai / Nikonov, Dmitri E. / Adams, Dominique / Rogan, Carly / Dc, Mahendra / Radosavljevic, Marko et al. | 2022
- 36.5.1
-
Novel Ferroelectric Tunnel FinFET based Encryption-embedded Computing-in-Memory for Secure AI with High Area-and Energy-EfficiencyLuo, Jin / Shao, Hanyong / Fu, Boyi / Fu, Zhiyuan / Xu, Weikai / Wang, Kaifeng / Yang, Mengxuan / Li, Yiqing / Lv, Xiao / Huang, Qianqian et al. | 2022
- 36.6.1
-
Superlattice HfO2-ZrO2 based Ferro-Stack HfZrO2 FeFETs: Homogeneous-Domain Merits Ultra-Low Error, Low Programming Voltage 4 V and Robust Endurance 109 cycles for Multibit NVMLiao, C.-Y. / Lou, Z.-F. / Lin, C.-Y. / Senapati, A. / Karmakar, R. / Hsiang, K.-Y. / Li, Z.-X. / Ray, W.-C. / Lee, J.-Y. / Chen, P.-H. et al. | 2022
- 36.7.1
-
Compact Ferroelectric Programmable Majority Gate for Compute-in-Memory ApplicationsDeng, Shan / Benkhelifa, Mahdi / Thomann, Simon / Faris, Zubair / Zhao, Zijian / Huang, Tzu-Jung / Xu, Yixin / Narayanan, Vijaykrishnan / Ni, Kai / Amrouch, Hussam et al. | 2022
- 37.1.1
-
Coherent Silicon Photonics for Imaging and RangingHajimiri, Ali / Khachaturian, Aroutin / Khial, Parham P. / Fatemi, Reza et al. | 2022
- 37.2.1
-
Near-Infrared Sensitivity Enhancement of Image Sensor by 2ND-Order Plasmonic Diffraction and the Concept of Resonant-Chamber-Like PixelTeranishi, Nobukazu / Yoshinaga, Takahito / Hashimoto, Kazuma / Ono, Atsushi et al. | 2022
- 37.3.1
-
A SPAD Depth Sensor Robust Against Ambient Light: The Importance of Pixel Scaling and Demonstration of a 2.5μm Pixel with 21.8% PDE at 940nmShimada, S. / Otake, Y. / Yoshida, S. / Jibiki, Y. / Fujii, M. / Endo, S. / Nakamura, R. / Tsugawa, H. / Fujisaki, Y. / Yokochi, K. et al. | 2022
- 37.4.1
-
3-Tier BSI CIS with 3D Sequential & Hybrid Bonding Enabling a1.4um pitch,106dB HDR Flicker Free PixelGuyader, F. / Batude, P. / Malinge, P. / Vire, E. / Lacord, J. / Jourdon, J. / Poulet, J. / Gay, L. / Ponthenier, F. / Joblot, S. et al. | 2022
- 37.5.1
-
3-Layer Stacked Voltage-Domain Global Shutter CMOS Image Sensor with 1.8μm-Pixel-PitchKim, Seung-Sik / Lee, Gwi-Deok Ryan / Park, Sang-Su / Shim, Heesung / Kim, Dae-Hoon / Choi, Minjun / Kim, Sangyoon / Park, Gyunha / Oh, Seung-Jae / Moon, Joosung et al. | 2022
- 37.6.1
-
Advanced Color Filter Isolation Technology for Sub-Micron Pixel of CMOS Image SensorBak, Hojin / Lee, Horyeong / Kim, Won-Jin / Choi, Inho / Kim, Hanjun / Kim, Dongha / Lee, Hanseung / Han, Sukman / Lee, Kyoung-In / Do, Youngwoong et al. | 2022
- 37.7.1
-
A 140 dB Single-Exposure Dynamic-Range CMOS Image Sensor with In-Pixel DRAM CapacitorOh, Youngsun / Lim, Jungwook / Park, Soeun / Yoo, Dongsuk / Lim, Moosup / Park, Joongseok / Kim, Seojoo / Jung, Minwook / Kim, Sungkwan / Lee, Junetaeg et al. | 2022
- 70
-
Thermally Resilient Microwave Switch and Power Limiter based on Insulator-Metal Transition of Lanthanum Cobalt OxideBhattacharya, R. / Khanna, A. / Bosworth, B. / Orloff, N. / Gambin, V. / Streit, D. / Fay, P. / Datta, S. et al. | 2022
- 467
-
Experimental Demonstration of the Small Pixel Effect in an Amorphous Photoconductor using a Monolithic Spectral Single Photon Counting Capable CMOS-Integrated Amorphous-Selenium SensorMohammadi, Reza / Levine, Peter M. / Karim, Karim S. et al. | 2022
- 483
-
Monolithic 3D Integration of Vertically Stacked CMOS Devices and Circuits with High-Mobility Atomic-Layer-Deposited In2O3 n-FET and Polycrystalline Si p-FET: Achieving Large Noise Margin and High Voltage Gain of 134 V/VTang, W. / Wang, Z. / Lin, Z. / Feng, L. / Liu, Z. / Li, X. / Ye, P. D. / Guo, X. / Si, M. et al. | 2022
- 735
-
Reconfigurable and In-sensor Computing Pb-free Perovskite Array towards Intelligent X-ray ImagingDun, Guan-Hua / Qin, Ken / Wang, Ze-Shu / Geng, Xiang-Shun / Li, Yuan-Yuan / Wan, Peng / He, Yi-Chu / Liang, Ren-Rong / Xie, Dan / Tian, He et al. | 2022
- 847
-
Superior Breakdown, Retention, and TDDB Lifetime for Ferroelectric Engineered Charge Trap Gate E-mode GaN MIS-HEMTWu, J.-S. / Liao, P.-H. / Chang, S.-J. / Yang, T.-Y. / Teng, C.-Y. / Liang, Y.-K. / Panda, D. / Luc, Q. H. / Chang, E. Y. et al. | 2022
- i
-
Welcome from the General Chair| 2022
- i
-
Copyright| 2022
- i
-
Executive Committee| 2022
- i
-
Conference Highlights| 2022