32nm Node Si and Si1−xGex SOI coplanar N channel “Vertical Dual Carrier Field Effect Transistor” for small signal mixed signal and communication applications (English)
- New search for: Xu, P.
- New search for: Xu, J.
- New search for: Yang, Y.H.
- New search for: Xu, Y.Z.
- New search for: Zhao, Y.F.
- New search for: Bai, D.
- New search for: Shen, S.K.
- New search for: Xiao, S.
- New search for: Li, G.H.
- New search for: Yang, R.
- New search for: Huang, D.H.
- New search for: Huang, C.
- New search for: Xu, P.
- New search for: Xu, J.
- New search for: Yang, Y.H.
- New search for: Xu, Y.Z.
- New search for: Zhao, Y.F.
- New search for: Bai, D.
- New search for: Shen, S.K.
- New search for: Xiao, S.
- New search for: Li, G.H.
- New search for: Yang, R.
- New search for: Huang, D.H.
- New search for: Huang, C.
In:
2008 9th International Conference on Solid-State and Integrated-Circuit Technology
;
96-99
;
2008
- Conference paper / Electronic Resource
-
Title:32nm Node Si and Si1−xGex SOI coplanar N channel “Vertical Dual Carrier Field Effect Transistor” for small signal mixed signal and communication applications
-
Contributors:Xu, P. ( author ) / Xu, J. ( author ) / Yang, Y.H. ( author ) / Xu, Y.Z. ( author ) / Zhao, Y.F. ( author ) / Bai, D. ( author ) / Shen, S.K. ( author ) / Xiao, S. ( author ) / Li, G.H. ( author ) / Yang, R. ( author )
-
Published in:
-
Publisher:
- New search for: IEEE
-
Publication date:2008-10-01
-
Size:2340202 byte
-
ISBN:
-
DOI:
-
Type of media:Conference paper
-
Type of material:Electronic Resource
-
Language:English
-
Source:
Table of contents conference proceedings
The tables of contents are generated automatically and are based on the data records of the individual contributions available in the index of the TIB portal. The display of the Tables of Contents may therefore be incomplete.
- 1
-
Analog/RF design techniques for high performance nanoelectronic on-chip interconnectsLiu, Bao et al. | 2008
- 1
-
Multiband RF-interconnect for CMP inter-core communicationsChang, M.C.F. et al. | 2008
- 1
-
High-input and low-output impedance voltage-mode universal biquadratic filter using FDCCIIsChen, Hua-Pin / Liao, Yi-Zhen et al. | 2008
- 1
-
Research and application of linearly decomposed decision feedback equalizer for 10 Gb/s optical communicationsLu, Li / Lei, Jianming / Bing, Linlin / Zou, Xuecheng et al. | 2008
- 1
-
A 2.4 GHz CMOS ultra low power low noise amplifier design with 65 nm CMOS technologyKoo, MinSuk / Jung, Hakchul / Song, Ickhyun / Jhon, Hee-Sauk / Shin, Hyungcheol et al. | 2008
- 1369
-
Millimeter wave integrated oscillator with reduced phase noise and enhanced output power using a novel defected ground structureCheng, Zhiqun / Lingling Sun, et al. | 2008
- 632
-
Characteristics of as-grown hole trapping in silicon oxynitride p-MOSFETs subjected to negative bias temperature stressWang, Yangang / Zhang, J. F. / Chang, M. H. / Mingzhen Xu, / Changhua Tan, et al. | 2008
- 516
-
A study of advanced modeling methodology of CMOS-compatible RF-MEMS devices for integrated circuit designWenzheng Wu, / Mengjun Jia, / Xinxin Li, / Yilong Hao, / Xing Zhang, / Yuhua Cheng, et al. | 2008
- 199
-
On-state resistance improvement by partially slotted STI LDMOS transistor in 0.25-micron smart power technologySu, R.Y. / Chiang, P.Y. / Gong, J. / Huang, T.Y. / Tsai, J.L. / Mingo Liu, / Chou, C.C. et al. | 2008
- 138
-
Process characterization for strained Si on SOI CMOS devicesLiu, Ran et al. | 2008
- 88
-
Noise in nano-scale MOSFETs and flash cellsHyungcheol Shin, / Yang, Seungwon / Jongwook Jeon, / Daewoong Kang, et al. | 2008
- 1
-
Author index| 2008
- 1
-
Sponser| 2008
- 1
-
Optimization of explicit-pulsed flip-flops for high performanceZhang, Xiaoyang / Jia, Song / Wang, Yuan / Zhang, Ganggang et al. | 2008
- 1
-
Design and test results of a front-end ASIC for radiation detectorsZhang, Yacong / Chen, Zhongjian / Lu, Wengao / Ji, Lijiu / Zhao, Baoying et al. | 2008
- 1
-
CMOS analog polyphase filters for use in Bluetooth systemsJiao, Yang / Huang, Zhizhong / Li, L. et al. | 2008
- 1276
-
Electrical characterization of ultrathin single crystalline Gd2O3/Si(100) with Pt top electrodeSun, Qing-Qing / Laha, Apurba / Osten, H. Jorg / Ding, Shi-Jin / Zhang, David Wei / Fissel, A. et al. | 2008
- 880
-
A novel circuit scheme and analysis for three-level feramHao Wu, / Ze Jia, / Tian-ling Ren, et al. | 2008
- 876
-
A novel zero-aware read-static-noise-margin-free SRAM cell for high density and high speed cache applicationAzizi Mazreah, Arash / Manzuri Shalmani, Mohammad Taghi / Noormandi, Reza / Mehrparvar, Ali et al. | 2008
- 769
-
Advanced silicon and silicon-based materials for fast transition from micrometer- to nanometer-scale integrated-circuit technologyHailing Tu, / Xiaolin Dai, / Guanliang Wan, / Haibin Chen, / Junhui Huang, / Qinghua Xiao, / Yu Gao, / Qigang Zhou, / Guohu Zhang, / Qing Chang, et al. | 2008
- 677
-
Strain and surface roughness control of SiGe layer deposited by ion beam sputteringAkinari Matoba, / Kimihiro Sasaki, / Minoru Kumeda, et al. | 2008
- 718
-
Crystalline quality of InxAl1-xN with different indium contents around lattice-matched to GaNZhenlin Miao, / Tongjun Yu, / Bo Shen, / Fujun Xu, / Jie Song, / Fang Lin, / Lubing Zhao, / Zhijian Yang, et al. | 2008
- 508
-
Phase change memory cell design by thermal analysis with finite element simulationYue-Feng Gong, / Yun Ling, / Zhi-Tang Song, / Song-lin Feng, et al. | 2008
- 456
-
Thermal analysis of ICs based on equivalent thermal resistanceRong Su, / Shiwei Feng, / Chunsheng Guo, / Bin Zhang, / Hao Meng, / Guangchen Zhang, et al. | 2008
- 357
-
Advances in spherical harmonics solvers for the Boltzmann equationJungemann, Christoph et al. | 2008
- 305
-
RF modeling of integrated RF CMOS Schottky diodes for rectifier designsXi-Ning Wang, / Li-Wu Yang, / Ting-Huang Lee, / Jian-Kun Su, / Bin Zhu, / Xiao-Fang Yao, et al. | 2008
- 113
-
Stress engineering for 32nm CMOS technology nodeWu, Jeff / Wang, Xin et al. | 2008
- 1
-
Low-voltage limitations and challenges of memory-rich nano-scale CMOS LSIsItoh, K. et al. | 2008
- 1
-
An FPGA based slave communication controller for industrial EthernetWang, Jian / Wang, Hong / Yang, Zhi-jia et al. | 2008
- 1
-
A novel high performance CMOS current switch driveZhu, Zhangming / Sun, Yuanjie / Yang, Yintang et al. | 2008
- 1
-
A MMIC distributed amplifier with bandwidth of 8-40GHzHuang, Qinghua / Liu, Xunchun / Hao, Mingli et al. | 2008
- 1074
-
Fluorine plasma ion implantation technology: a new dimension in gan device processingChen, Kevin Jing et al. | 2008
- 869
-
Nonvolatile SRAM cell based on CuxOXiaoyong Xue, / Gang Jin, / Ji Zhang, / Le Xu, / Yiqing Ding, / Yufeng Xie, / Changhong Zhao, / Chen, B. A. / Yinyin Lin, et al. | 2008
- 799
-
Piezoresistive linearity analysis of polysilicon nanofilms deposited at different temperatures based on interstitial-vacancy modelChangzhi Shi, / Xiaowei Liu, / Rongyan Chuai, et al. | 2008
- 428
-
On integration-based methods for MOSFET model parameter extractionOrtiz-Conde, Adelmo / Garcia Sanchez, Francisco J. / Salazar, Ramon et al. | 2008
- 385
-
GaAs-GaP core-shell nanowire transistors: A computational studyYuhui He, / Yuning Zhao, / Chun Fan, / Xiaoyan Liu, / Jinfeng Kang, / Ruqi Han, et al. | 2008
- 317
-
Modeling of the turn-on characteristics of poly-silicon thin-film transistors with considering kink effectBin Li, / Ting Chen, / Xue-Ren Zheng, et al. | 2008
- 100
-
The state-of-the-art mobility enhancing schemes for high-performance logic CMOS technologiesChung, Steve S. et al. | 2008
- 1
-
A multi-core/multi-chip scalable architecture of associative processors employing bell-shaped analog matching cellsBui, Trong-Tu / Shibata, T. et al. | 2008
- 1
-
Filtering technique to lower phase noise for 2.4GHz CMOS VCOYan, Wenhao / Park, Chan-Hyeong et al. | 2008
- 1
-
Phase-noise improvement of GaAs pHEMT K-band voltage controlled oscillator using tunable field-plate voltage technologyChiu, Hsien-Chin / Lin, Shao-Wei / Wei, Chien-Cheng / Cheng, Chia-Shih / Wu, Yu-Fei / Fu, J.S. et al. | 2008
- 1126
-
Temperature dependence of breakdown in anisotropic 6H-SiC MOSFETLi, Liu / Yin-tang, Yang / Chang-chun, Chai et al. | 2008
- 1021
-
Broad excitation of Er luminescence in Er-doped HfO2 filmsWang, Junzhuan / Zhuoqiong Shi, / Yi Shi, / Zhensheng Tao, / Lin Pu, / Lijia Pan, / Rong Zhang, / Youdou Zheng, / Fang Lu, et al. | 2008
- 1037
-
Reducing threshold voltage of organic field-effect transistor by using ZrO2/PMMA as gate dielectricLiwei Shang, / Ming Liu, / Deyu Tu, / Lijuan Zhen, / Liu, Xinghua / Ge Liu, et al. | 2008
- 761
-
Properties of high-dielectric constant complex materials based on transition and rare-earth metal oxidesHei Wong, et al. | 2008
- 765
-
Optical characteristics and UV modification of low-k materialsMarsik, Premysl / Baklanov, Mikhail R. et al. | 2008
- 746
-
Structure and magnetic properties of Co-doped TiO2 nanotubes by aqueous solution methodA-bo Zheng, / Yan Li, / Yi Wang, / Lei Sun, / Li-feng Liu, / De-dong Han, / Jin-feng Kang, / Xing Zhang, / Ru-qi Han, et al. | 2008
- 742
-
Physical and optical properties of ZnO thin films grown by DC sputtering depositionTao Chen, / Shu-Yi Liu, / Detavernier, Christophe / Van Meirhaeghe, R. L. / Xin-Ping Qu, et al. | 2008
- 651
-
Design trends and challenges of logic soft errors in future nanotechnologies circuits reliabilityHai Yu, / Fan, Xiaoya / Nicolaidis, Michael et al. | 2008
- 452
-
A simple procedure to determine source/drain series resistance and effective channel length for advanced MOSFETsYang-Hua Chang, / Ying-Chieh Cheng, / Ching-Sung Ho, et al. | 2008
- 400
-
Investigations on the physical understanding of mobility in MOSFETs - from drift-diffusion to quasi-ballisticHongwei Liu, / Runsheng Wang, / Ru Huang, / Xing Zhang, et al. | 2008
- 369
-
Harmonic distortion in MOSFETs calculated by successive integration of the transfer characteristicsSalazar, Ramon / Ortiz-Conde, Adelmo / Garcia-Sanchez, Francisco J. et al. | 2008
- 105
-
Scaling of Strain-induced Mobility Enhancements in Advanced CMOS TechnologyRim, Kern et al. | 2008
- 1
-
Copyright| 2008
- 1
-
An adaptive front-end for grounded conductivity sensors in liquid-monitoring applicationsJia, Qi / Li, Xiujun / Meijer, G.C.M. et al. | 2008
- 1
-
A 1 V 6 mW inductorless wideband LNA in 0.13 micrometer RF CMOSWang, Hongrui / Yu, Zhiping et al. | 2008
- 1184
-
Ultra-low-temperature process modules for back-wafer-contacted silicon-on-glass RF/microwave technologyNanver, Lis K. / Gonda, Viktor / Civale, Yann / Scholtes, Tom L. M. / La Spina, Luigi / Schellevis, Hugo / Lorito, Gianpaolo / Sarubbi, Francesco / Popadic, Milos / Buisman, Koen et al. | 2008
- 803
-
Surface and interfacial properties of the ultra-thin HfO2 gate dielectric deposited by ALDLiu Hong-xia, / Zhou Tao, / Aaron Zhao, / Sai Tallavarjula, et al. | 2008
- 655
-
Investigations on proton-irradiation-induced spacer damage in deep-submicron MOSFETsShoubin Xue, / Pengfei Wang, / Ru Huang, / Dake Wu, / Yunpeng Pei, / Wenhua Wang, / Xing Zhang, et al. | 2008
- 412
-
The driftless and electron-windless electromigration theoryChih-Tang Sah, / Jie, Bin B. et al. | 2008
- 176
-
Thick field-oxide high-voltage PMOS in BCD technology for PDP scan driver ICXiao-Ming Li, / Yi-Qi Zhuang, et al. | 2008
- 153
-
Schottky-barrier height tuning of Ni and Pt germanide/n-Ge contacts using dopant segregationMueller, M. / Zhao, Q. T. / Urban, C. / Sandow, C. / Breuer, U. / Mantl, S. et al. | 2008
- 1
-
A BIST scheme for full characterization of ADC parameters in Mixed-Signal SoCsYuan, Chao / Zhao, Yuanfu / Du, Jun et al. | 2008
- 1
-
A PWM DC-DC boost converter with peak current-mode control for TFT LCD power supplyLu, Feng / Liu, Xin / Wang, Shuai / Chang, Yuchun et al. | 2008
- 1
-
Efficient encoding scheme for folding ADCLiu, Zhen / Jia, Song / Wang, Yuan / Ji, Lijiu / Zhang, Xing et al. | 2008
- 1
-
The RF front-end single-chip SOI solutionMa, Pingxi / Racanelli, M. et al. | 2008
- 1045
-
Temperature dependence of photoluminescence properties of CdSxSe1−x quantum dots prepared on silicon substrateChen, X. / Zhang, H. Q. / Hu, L. Z. / Yu, D. Q. / Zhao, Z. W. / Qiao, S. S. / Li, J. / Zhu, J. X. et al. | 2008
- 714
-
High quality AlGaN grown on GaN template with HT-AlN interlayerJianchang Yan, / Junxi Wang, / Zhe Liu, / Naixin Liu, / Jinmin Li, et al. | 2008
- 184
-
New Lateral IGBT on partial membraneLei Lei, / Xiaorong Luo, / Zhan Zhan, / Wei Zhang, / Bo Zhang, / Zhaoji Li, et al. | 2008
- 43
-
Extraction of voltage transfer characteristic of inverter based on TSNWFETsYeonsung Kang, / Suk, Sung Dae / Seo, Kang Il / Park, Donggun / Hyungcheol Shin, et al. | 2008
- 884
-
Modeling and analysis of effect on bit-line voltage caused by imprint in FeRAMSheng-ze Zhou, / Ze Jia, / Tian-ling Ren, et al. | 2008
- 1
-
A charge pump circuit design based on a 0.35 micrometer BCD technology for high voltage driver applicationsWei, Henru / Cheng, Yuhua et al. | 2008
- 1
-
Low power design of column readout stage for large format IR ROICLiu, Dan et al. | 2008
- 1
-
Design of low power multi-standard active-RC filter for WLAN and DVB-HMa, Heping / Yuan, Fang / Chen, Bei / Shi, Yin / Dai, F.F. et al. | 2008
- 1
-
The frequency limits of field-effect transistors: MOSFET vs. HEMTSchwierz, F. et al. | 2008
- 1
-
A 71 approximately 86 GHz, 2.5 Gbps high performance millimeter-wave direct up-conversion quadrature modulatorMiao, Long / Wang, Zhi-Gong et al. | 2008
- 1264
-
Alloying effects in Ni Silicide for CMOS applicationsGuo-Ping Ru, / Yu-Long Jiang, / Bao-Min Wang, / Yi-Fei Huang, / Wei Huang, et al. | 2008
- 1055
-
Combined transparent electrodes for high power GaN-based LEDs with long life timeLiangchen Wang, / Xiaoyan Yi, / Xiaodong Wang, / Guohong Wang, / Jinmin Li, et al. | 2008
- 857
-
Characteristics of inorganic and organic ferroelectric thin films for memory applicationsIshiwara, Hiroshi et al. | 2008
- 692
-
Effect of AlN interlayer thickness on leakage currents in Schottky contacts to Al0.25Ga0.75N/AlN/GaN heterostructuresSen Huang, / Bo Shen, / Fujun Xu, / Fang Lin, / Zhenlin Miao, / Jie Song, / Lin Lu, / Zhixin Qin, / Zhijian Yang, / Guoyi Zhang, et al. | 2008
- 753
-
Oxidized layer of CdZnTe studied by C-V characteristicsJian-rong Fan, / Wen-bin Sang, / Yue Lu, / Jia-hua Min, / Xiao-yan Liang, / Dong-ni Hu, et al. | 2008
- 722
-
Morphology and microstructure evolution of AlxGa1-xN epilayers grown on GaN/sapphire templates with AlN interlayers observed by transmission electron microscopyLu, L. / Shen, B. / Xu, F.J. / Huang, S. / Miao, Z.L. / Qin, Z.X. / Yang, Z.J. / Zhang, G.Y. / Zhang, X.P. / Xu, J. et al. | 2008
- 504
-
Impact of gate misalignment on the performance of dopant-segregated Schottky Barrier MOSFETsZeng, Lang / Liu, Xiao Yan / Du, Gang / Jin Feng Kang, / Ru Qi Han, et al. | 2008
- 345
-
A novel ESD protection circuit applied in high-speed CMOS ICBing Zhang, / Changchun Chai, / Yintang Yang, et al. | 2008
- 126
-
Influence of surface orientation on electrical characteristics in MOSFETs with slightly tilted off-axis channelMomose, Hisayo S. et al. | 2008
- 72
-
Investigations on the performance limits of the IMOS transistorZhenhua Wang, / Ru Huang, et al. | 2008
- 1
-
A novel H.264 QP adaptive MPDC block-matching algorithm and its VLSI designPeng, Chungan / Cao, Xixin / Cui, Xiaoxin / Yu, Dunshan / Sheng, Shimin et al. | 2008
- 1284
-
Thermal stability of HfON, HfSiON and HfTaON gate dielectricsGaobo Xu, / Xu, Qiuxia et al. | 2008
- 1199
-
Microfabrication of Through Silicon Vias (TSV) for 3D SiPHongguang Liao, / Min Miao, / Xin Wan, / Yufeng Jin, / Liwei Zhao, / Bohan Li, / Yuhui Zhu, / Xin Sun, et al. | 2008
- 1086
-
Transport and spin properties of the two-dimensional electron gas in GaN-based heterostructuresBo Shen, / Ning Tang, et al. | 2008
- 1110
-
Novel structural Ti/Al-based ohmic contacts on AlGaN/GaN heterostructuresDong, Zhihua / Wang, Jinyan / Yu, Min / Hao, Yilong / Wen, C. P. / Wang, Yangyuan et al. | 2008
- 699
-
Ferroelectric properties of BiFexCr1-xO3 thin film formed on Pt electrodesZhiyong Zhong, / Yoshihiro Sugiyama, / Hiroshi Ishiwara, et al. | 2008
- 541
-
Carbon nanotube via interconnects with large current carrying capacityNihei, Mizuhisa / Kawabata, Akio / Sato, Shintaro / Nozue, Tatsuhiro / Hyakushima, Takashi / Norimatsu, Masaaki / Murakami, Tomo / Kondo, Daiyu / Ohfuti, Mari / Awano, Yuji et al. | 2008
- 534
-
The application of carbon nanotubes in CMOS integrated circuitsChan, Philip C. H. / Chai Yang, / Min Zhang, / Yunyi Fu, et al. | 2008
- 207
-
From ultimate to beyond NanoCMOSDeleonibus, S. / de Salvo, B. / Ernst, T. / Faynot, O. / Le Royer, C. / Poiroux, T. / Vinet, M. et al. | 2008
- 109
-
Stress engineering in (100) and (110) nMOSFETsUchida, Ken / Saitoh, Masumi et al. | 2008
- 1
-
Future memory technologiesWon-Seong Lee, et al. | 2008
- 1058
-
Research on the propagation mechanism and loss of ridged SiGe-OI optical waveguideGao, Yong / Feng, Song / Yang, Yuan et al. | 2008
- 921
-
Resistive switching behaviors and mechanism of transition metal oxides-based memory devicesKang, J. F. / Sun, B. / Gao, B. / Xu, N. / Sun, X. / Liu, L. F. / Wang, Y. / Liu, X. Y. / Han, R. Q. / Wang, Y.Y. et al. | 2008
- 872
-
Analysis of contact resistance effect to SRAM performance in deep sub-micron technologyHuang, Stella. / Wong, Waisum. et al. | 2008
- 738
-
Improvement of the crystallinity and optical properties of sol-gel ZnO thin film by a PVD ZnO buffer layerShu-Yi Liu, / Tao Chen, / Yu-Long Jiang, / Guo-Ping Ru, / Bing-Zong Li, / Xin-Ping Qu, et al. | 2008
- 730
-
Growth and characterization of Si/SiN/SiC structures by APCVD processYang, Yin-tang / Jia, Hu-jun / Chai, Chang-chun / Yue-jin Li, et al. | 2008
- 726
-
Low resistance Ti/Al/Ni/Au Ohmic contact to (NH4)2Sx treated n-type GaN for high temperature applicationsLin, F. / Shen, B. / Huang, S. / Xu, F. J. / Yang, H. Y. / Chen, W. H. / Ma, N. / Qin, Z. X. / Zhang, G. Y. et al. | 2008
- 710
-
Operational optimization of GaN thin film growth employing numerical simulation in a showerhead MOCVD reactorHaibo Yin, / Xiaoliang Wang, / Guoxin Hu, / Junxue Ran, / Hongling Xiao, / Jinmin Li, et al. | 2008
- 620
-
The transient behavior of NBTI - a new prospectiveCheung, Kin P. / Campbell, J.P. et al. | 2008
- 420
-
Modeling the gate current 1/f noise and its application to advanced CMOS devicesCrupi, F. / Magnone, P. / Iannaccone, G. / Giusi, G. / Pace, C. / Simoen, E. / Claeys, C. et al. | 2008
- 424
-
Directed Genetic algorithms for OTFT model parameter extractionGarcia-Moreno, Eugenio / Iniguez, Benjamin / Picos, Rodrigo et al. | 2008
- 377
-
Simulation of magnetotransport in nanoscale devicesSung-Min Hong, / Jungemann, Christoph et al. | 2008
- 1
-
Design and implementation of two key image processing techniques for CMOS image sensor based on FPGAZhang, Yu / Yao, Su-ying / Zhang, Na / Xu, Jiang-Tao et al. | 2008
- 1
-
A new configuration scheme for delay test in non-simple LUT FPGA designsSun, Botao / Feng, Jianhua / Lin, Teng et al. | 2008
- 1
-
A CMOS quaternary-to-binary logic decoderKim, Jeong-Beom et al. | 2008
- 1
-
Process variation tolerant LC-VCO dedicated to ultra-low power biomedical RF circuitsTanguay, L.F. / Sawan, M. et al. | 2008
- 440
-
Impact of NBTI on the performance of 35nm CMOS digital circuitsYangang Wang, / Zwolinski, M. et al. | 2008
- 1
-
A novel RF phase error built-in-self-test for GSMWebster, D. / Hudgens, R. / Phan, L. / Eliezer, O. / Lie, D.Y.C. et al. | 2008
- 1
-
A low power dynamic pseudo random bit generator for test pattern generationHou, Li-gang / Peng, Xiao-Hong / Wu, Wu-chen et al. | 2008
- 1
-
A single-inductor dual-output pseudo-DCM/CCM buck and boost converter in 90 nm CMOS technologyJia, Jingbin / Leung, Ka-Nang et al. | 2008
- 1272
-
Modulate Work Function of Ni-FUSI metal gate by implanting YbHuajie Zhou, / Qiuxia Xu, et al. | 2008
- 1090
-
Evidence of mobile holes on GaN HFET barrier layer surface - root cause of high power transistoramplifier current collapseWen, Cheng P. / Jinyan Wang, / Yilong Hao, / Yaohui Zhang, / Keimay Lau, et al. | 2008
- 962
-
Electrical properties of multilayer silicon nano-crystal nonvolatile memoryZhang, Zhigang / Wang, Liudi / Ping Mao, / Pan, Liyang / Jun Xu, et al. | 2008
- 688
-
Atomically-controlled Fe3Si/Ge hybrid structures for group-IV-semiconductor spin-transistor applicationMasanobu Miyao, / Yuichiro Ando, / Koji Ueda, / Kohei Hamaya, / Yukio Nozaki, / Taizoh Sadoh, / Kimihide Matsuyama, / Kazumasa Narumi, / Yoshihito Maeda, et al. | 2008
- 373
-
Simulation of time-dependent transport in nanoscaled devicesZhidong Chen, / Jinyu Zhang, / Zhiping Yu, et al. | 2008
- 1
-
Conference organizations| 2008
- 1
-
Contents| 2008
- 1
-
A novel calibration technique applying to an adaptive-bandwidth PLLSong, Ying / Wang, Yuan / Jia, Song / Zhao, Baoying et al. | 2008
- 1
-
Design and performance analysis of one 32-bit dual issue RISC processor for embedded applicationHuang, Xiaoping / Fan, Xiaoya / Zhang, Shengbing et al. | 2008
- 1
-
A two-level pipeline input interface circuit with probability splitting computation function used in analog decoderYang, Shuhui / Li, Xuehua / Wang, Yafei / Qiu, Yulin et al. | 2008
- 1
-
Research on the genetic algorithms applied to evolvement analog ICGao, Daming / Wu, Wuchen / Ye, Qing / Ye, Tianchun et al. | 2008
- 1332
-
Influence of surfactant on Si{111} etched surfaceXinhuan Niu, / Baimei Tan, / Simiao Zong, / Yuling Liu, et al. | 2008
- 1231
-
Comparison of the Ru thin films grown on Si, TiN/Si and TaN/Si substrates by plasma enhanced atomic layer depositionXie, Qi / Musschoot, Jan / Detavernier, Christophe / Deduytsche, Davy / Van Meirhaeghe, Roland L. / Jiang, Yu-Long / Ru, Guo-Ping / Li, Bing-Zong / Qu, Xin-Ping et al. | 2008
- 1173
-
A study of 65nm BEOL trench etch issuesLin-Lin Zhao, / Man-Hua Shen, / Qiu-Hua Han, / Hai-Yang Zhang, / Shih-Mou Chang, et al. | 2008
- 974
-
Low-temperature processed polycrystalline silicon thin-film transistor with aluminum-replaced source and drain regionsZhang, Dongli / Hoi-Sing Kwok, / Wong, Man et al. | 2008
- 563
-
Fabrication and DC current-voltage characteristics of real space transfer transistor with dual-quantum-well channelYu, Xin / Zhang, Shilin / Mao, Luhong / Guo, Weilian / Wang, Xiaoli et al. | 2008
- 231
-
Fabrication of improved FD SOIMOSFETs for suppressing edge effectNingjuan wang, / Ning Li, / Zhongli Liu, / Fang Yu, / Guohua Li, et al. | 2008
- 1
-
A new delay line structure for switched capacitor (SC) circuitsZheng, Huan-Qun / lim, Yong-Ching / Xu, Yong-Ping et al. | 2008
- 1
-
A body-biased wide-band VCOChen, Hwan-Mei / Jhuang, You-Da / Chen, Shih-Wei et al. | 2008
- 1
-
Development of passive devices in 130 nm RFCMOS technology and PDK implementation for RF VCO designsDuo, Xinzhong / Lee, Tinghuang / Wen, P. / Kang, L. / Chen, Tweeg / Zhu, P. / Yang, Li-Wu et al. | 2008
- 1
-
A low power SiGe HBT LNA utilizing serial inductance for wideband matchingHuang, Lu / Zhang, Wan-Rong / Xie, Hong-Yun / Li, Jia / Zhang, Wei / Wang, Yang / Shen, Pei / Gan, Jun-Ning / Huang, Yi-Wen / Hu, Ning et al. | 2008
- 1280
-
Study on electrical properties of HfTiON and HfTiO gate dielectric Ge MOS capacitors with wet-NO surface pretreatmentZou, Xiao / Xu, Jing-Ping et al. | 2008
- 1162
-
Investigation on thin gate oxide behavior for CMOS devicesMingyuan Liu, / Yonggen He, / Hung, Albert / Yunzhen Liu, / Bingwu Liu, / Dibao Zhou, / Kai Zheng, / Jinghua Liu, / Jianhua Jua, et al. | 2008
- 1094
-
Molecular dynamics simulation study on fluorine plasma ion implantation in AlGaN/GaN heterostructuresLi Yuan, / Maojun Wang, / Chen, Kevin J. et al. | 2008
- 823
-
Technologies and materials for memory with full compatibility to CMOSChi, Min-hwa / Wu, HanMing et al. | 2008
- 757
-
Fabrication of silicon-on-insulator (SOI) and high-k materials using plasma technologyChu, Paul K. et al. | 2008
- 707
-
UHV STM AND LEED studies of the nucleation and growth of Ge thin films on Si(113) substratesShmavonyan, G.Sh. / Zendehbad, S.M. et al. | 2008
- 520
-
Global scaling inductor models with temperature effectHe, Danmy / Jenhao Cheng, / Chen, Leo et al. | 2008
- 168
-
Improved thermal stability in SiGe HBTs by emitter layoutJin Dongyue, / Zhang Wanrong, / Xie Hongyun, / Hu Ning, / Shen Pei, et al. | 2008
- 33
-
Status and trends in nanoscale Si-based devices and materialsBalestra, F. et al. | 2008
- 5
-
IC innovations in automotivede Vries, Rene Penning et al. | 2008
- 7
-
Application oriented MEMS by open collaborationEsashi, Masayoshi et al. | 2008
- 13
-
Using innovation to drive Moore’s LawBohr, Mark et al. | 2008
- 16
-
Green transistor as a solution to the IC power crisisHu, Chenming et al. | 2008
- 21
-
The future of CMOS scaling - parasitics engineering and device footprint scalingWong, H.-S. Philip / Wei, Lan / Deng, Jie et al. | 2008
- 25
-
Silicon nanowire CMOSFETs : Fabrication, characteristics, and memory applicationDonggun Park, et al. | 2008
- 29
-
Low dissipation nanoscale transistor physics and operationsChui, Chi On / Shih, Kun-Huan / Shoorideh, Kaveh et al. | 2008
- 37
-
Road-blocks to Tera-level nanoelectronicsJo-Won Lee, / Moonkyung Kim, et al. | 2008
- 41
-
CMOS gate height scalingZhibin Ren, / Schonenberg, K. T. / Ontalus, V. / Lauer, I. / Butt, S. A. et al. | 2008
- 46
-
An experimental study on carrier transport in silicon nanowire transistors: How close to the ballistic limit?Runsheng Wang, / Jing Zhuge, / Ru Huang, / Liangliang Zhang, / Dong-Won Kim, / Xing Zhang, / Park, Donggun / Yangyuan Wang, et al. | 2008
- 50
-
Investigation of mobility in twin silicon nanowire MOSFETs (TSNWFETs)Junsoo Kim, / Seungwon Yang, / Jaehong Lee, / Suk, Sung Dae / Kangil Seo, / Park, Donggun / Park, Byung-Gook / Lee, Jong Duk / Hyungcheol Shin, et al. | 2008
- 53
-
Air spacer MOSFET technology for 20nm node and beyondPark, Jemin / Chenming Hu, et al. | 2008
- 57
-
Scaling study of nanowire and multi-gate MOSFETsChin-Yu Chen, / Yi-Bo Liao, / Meng-Hsueh Chiang, et al. | 2008
- 61
-
Prediction of channel thermal noise in twin silicon nanowire MOSFET (TSNWFET)Jaehong Lee, / Jongwook Jeon, / Junsoo Kim, / Byung-Gook Park, / Jong Duk Lee, / Hyungcheol Shin, et al. | 2008
- 64
-
Thermal stability of a high performance PTGVMOS with native-tieYing-Chieh Tsai, / Jyi-Tsong Lin, / Yi-Chuen Eng, / Shiang-Shi Kang, / Yi-Ming Tseng, / Hung-Jen Tseng, / Po-Hsieh Lin, et al. | 2008
- 68
-
Novel vertical sidewall MOSFETs with embedded gateTai-Yi Lee, / Jyi-Tsong Lin, / Kao-Cheng Lin, et al. | 2008
- 76
-
Novel MOSFET structures for RF applicationsJhaveri, Ritesh / Girish, N. V. / Woo, Jason et al. | 2008
- 80
-
The impact of substrate bias on RTS and flicker noise in MOSFETs operating under switched gate biasZanolla, Nicola / Siprak, Domagoj / Tiebout, Marc / Baumgartner, Peter / Sangiorgi, Enrico / Fiegna, Claudio et al. | 2008
- 84
-
Strain influence on analog performance of single-gate and FinFET SOI nMOSFETsMartino, Joao Antonio / Pavanello, Marcelo Antonio / Simoen, Eddy / Claeys, Cor et al. | 2008
- 92
-
CMOS-NDR transistorWei-Lian Guo, / Wei Wang, / Ping-Juan Niu, / Xiao-yun Li, / Xin Yu, / Lu-hong Mao, / Hongwei Liu, / Guang-hua Yang, / Rui-liang Song, et al. | 2008
- 96
-
32nm Node Si and Si1−xGex SOI coplanar N channel “Vertical Dual Carrier Field Effect Transistor” for small signal mixed signal and communication applicationsXu, P. / Xu, J. / Yang, Y.H. / Xu, Y.Z. / Zhao, Y.F. / Bai, D. / Shen, S.K. / Xiao, S. / Li, G.H. / Yang, R. et al. | 2008
- 117
-
SMT and enhanced SPT with recessed SD to improve CMOS device performanceFang, S. / Tan, S.S. / Yuan, J. / Liang, Q. / Dyer, T. / Robinson, R. / Liu, J. / Kim, J.J. / Zuo, B. / Belyansky, M. et al. | 2008
- 121
-
Towards ultimate CMOS performance with new stressor materialsYeo, Yee-Chia et al. | 2008
- 130
-
The impact of stain technology on FUSI gate SOI CMOSFET and device performance enhancement for 45nm node and beyondWen-Kuan Yeh, / Jean-An Wang, / Chien-Ting Lin, / Li-Wei Cheng, / Mike Ma, et al. | 2008
- 134
-
Strained silicon dynamic threshold voltage MOSFETs for low voltage and ultra high speed CMOS circuitsWeiying Gu, / Renrong Liang, / Mei Zhao, / Xu, Jun et al. | 2008
- 142
-
A theoretical study Of electrostatic properties Of 〈100〉 uniaxially strained silicon n-channel MOSFETManzur Rahman, Md. et al. | 2008
- 146
-
Towards Schottky-barrier source/drain MOSFETsOstling, Mikael / Gudmundsson, Valur / Hellstrom, Per-Erik / Malm, B. Gunnar / Zhen Zhang, / Shi-Li Zhang, et al. | 2008
- 150
-
Dopant-segregated source/drain technology for high-performance CMOSKinoshita, Atsuhiro et al. | 2008
- 157
-
A comprehensive study on Schottky barrier nanowire transistors (SB-NWTs): Principle, physical limits and parameter fluctuationsLiangliang Zhang, / Zhaoyi Kang, / Runsheng Wang, / Ru Huang, et al. | 2008
- 161
-
Impact factors on the performance of Schottky barrier MOSFETs with asymmetric barrier height at source/drainDu Xiong-Xiong, / Sun Lei, / Liu Xiao-Yan, / Han Ru-Qi, et al. | 2008
- 164
-
REBULF technology for bulk silicon and SOI lateral high-voltage devicesBo Zhang, / Jianbing Cheng, / Ming Qiao, / Zhaoji Li, et al. | 2008
- 172
-
A Fermi Level Controlled High Voltage Transistor preventing subthreshold humpByoung-Chul Park, / Sung-Young Lee, / Dong-Ryul Chang, / Kee-In Bang, / Sung-Jun Kim, / Sang-Bae Yi, / Eun-Seung Jung, et al. | 2008
- 180
-
Research on SiGeC power diodes with fast and soft recoveryJing Liu, / Yong Gao, / Yuan Yang, et al. | 2008
- 188
-
Investigation of the RESURF Dielectric Inserted (REDI) LDMOS as a novel silicon-based RF power deviceYuchao Liu, / Han Xiao, / Ru Huang, et al. | 2008
- 192
-
A research for BCD compatible technologyZhengyuan Zhang, / Zhicheng Feng, / Xiaogang Li, / Mingyu Hu, / Chun Zheng, et al. | 2008
- 195
-
Bulk silicon CDMOS technology for an advanced PDP data drvier ICQian Qinsong, / Wu Hong, / Li Haisong, / Sun Weifeng, et al. | 2008
- 203
-
The multi-emitter Si/SiGe HBT for microwave power applicationWang Zi-xu, / Yang Dao-hong, / Zou De-shu, / Shi Chen, / Chen Jian-xin, / Yang Wei-ming, et al. | 2008
- 211
-
Source/Drain junction integration issues in submicron Ge MOSFETsSimoen, E. / Satta, A. / Eneman, G. / Brunco, D.P. / De Jaeger, B. / Opsomer, K. / Meuris, M. / Claeys, C. et al. | 2008
- 215
-
Estimation of process variation impact on DG-FinFET device performance using Plackett-Burman design of experiment methodChandorkar, A.N. / Mande, Sudhakar / Iwai, Hiroshi et al. | 2008
- 219
-
The influence of the source/drain-tie length in a novel self-aligned S/D tie SOI for improving self-heatingJyi-Tsong Lin, / Kang, Shiang-Shi / Yi-Chuen Eng, / Yi-Ming Tseng, / Ying-Chieh Tasi, / Hung-Jen Tseng, / Bao-Tang Jheng, / Po-Hsieh Lin, et al. | 2008
- 223
-
High performance and highly stable ultra-thin oxynitride for CMOS applicationsWenjuan Zhu, / Shepard, Joseph / Wei He, / Ray, Asit / Ronsheim, Paul / Schepis, Dominic / Mocuta, Dan / Leobandung, Effendi et al. | 2008
- 227
-
Misalignment issue between the si-body and the gate of a 30nm bSPIFETHung-Jen Tseng, / Jyi-Tsong Lin, / Yi-Chuen Eng, / Bao-Tang Jheng, / Yi-Ming Tseng, / Shiang-Shi Kang, / Ying-Chieh Tasi, et al. | 2008
- 235
-
Analysis of breakdown characteristics of 40nm PD SOI NMOS device considering STI-induced mechanical stress effectKuo, J. B. / Su, V. C. / Lin, I. S. / Chen, D. / Lin, G. S. / Yeh, C. S. / Tsai, C. T. / Ma, M. et al. | 2008
- 239
-
Nature of breakdown in ultrathin gate dielectricsPey, K.L. / Tung, C.H. / Lo, V. L. / Li, X. et al. | 2008
- 243
-
Low-frequency current fluctuations in post-hard breakdown thin silicon oxide filmsOmura, Yasuhisa et al. | 2008
- 247
-
Defect current and defect band conduction of ultrathin oxides after degradation and breakdownMingzhen Xu, / Changhua Tan, et al. | 2008
- 250
-
Plasma-process induced damage on 65nm logic VLSI manufacturingPan, Jing / Wu, Jimmy / Gan, Howard / Qi Wang, / Bei, Emily / Wang, Forest / Jiaxiang Nie, / Chin Chang Liao, / Ning, Jay et al. | 2008
- 254
-
Fast method to identify the root cause for ILD Vbd failGan, Z.H. / Wu, Y.J. / Zheng, K. / Guo, R. / Liao, C.C. et al. | 2008
- 258
-
Compact modeling of multiple-gate MOSFETsTaur, Yuan / Song, Jooyoung / Yu, Bo et al. | 2008
- 262
-
Unified regional modeling approach to emerging multiple-gate/nanowire MOSFETsZhou, Xing / See, Guan Huei / Zhu, Guojun / Lin, Shihuan / Wei, Chengqing / Zhang, Junbin et al. | 2008
- 268
-
A complete surface potential-based current-voltage and capacitance-voltage core model for undoped surrounding-gate MOSFETsJin He, / Yan Song, / Feng Liu, / Feilong Liu, / Lining Zhang, / Jian Zhang, / Xing Zhang, et al. | 2008
- 272
-
A conduction model for intrinsic polycrystalline silicon thin-film transistor based on energy-dispersed trap states at discrete grain boundaryWong, Man / Chow, Thomas / Chun Cheong Wong, / Dongli Zhang, et al. | 2008
- 276
-
HiSIM-HV: A compact model for simulation of high-voltage-MOSFET circuitsMattausch, H.J. / Kajiwara, T. / Yokomichi, M. / Sakuda, T. / Oritsuki, Y. / Miyake, M. / Sadachika, N. / Kikuchihara, H. / Feldmann, U. / Miura-Mattausch, M. et al. | 2008
- 280
-
High-voltage MOSFET modeling and simulation considerationsJames Ma, / Lianfeng Yang, et al. | 2008
- 284
-
Extraction of speculative SOI MOSFET models using self-heating-free targetsQiang Chen, / Zhi-Yuan Wu, / Ly, Tran / Gupta, Mayank / Wason, Vineet / Jung-Suk Goo, / Thuruthiyil, Ciby / Radwin, Martin / Subba, Niraj / Chiney, Priyanka et al. | 2008
- 288
-
The new analytical subthreshold behavior model for dual material gate (DMG) SOI MESFETChiang, T.K. et al. | 2008
- 290
-
RF MOST modeling based on PSPLi-heng Lou, / Ling-ling Sun, / Jun Liu, et al. | 2008
- 297
-
An analytical model for carrier recombination and generation lifetimes measurement in SOI MOSFET’sGang Zhang, / Won Jong Yoo, et al. | 2008
- 301
-
Off-state leakage current modeling in low-power/high-performance partially-depleted (PD) floating-body (FB) SOI MOSFETsChen, Qiang / Goo, Jung-Suk / Ly, Tran / Chandrasekaran, Karthik / Zhi-Yuan Wu, / Thuruthiyil, Ciby / Icel, Ali B. et al. | 2008
- 309
-
Balanced truncation on empirical gramians for model-order-reduction of Non-Quasi-Static effects in MOSFETsShijing Yao, / Yangdong Deng, / Zhiping Yu, et al. | 2008
- 313
-
An efficient compact model for LDMOS with self-heating effectsDongxu Yang, / Li Zhang, / Yan Wang, / Zhiping Yu, et al. | 2008
- 321
-
Modeling of the effective mobility for polysilicon thin film transistorBing-Hui Yan, / Bin Li, / Ruo-He Yao, / Xue-Ren Zheng, et al. | 2008
- 325
-
Electrostatic discharge (ESD) and technology Scaling: The future of ESD protection in advanced technologyVoldman, Steven H. et al. | 2008
- 329
-
Electrostatic discharge protection framework for mixed-signal high voltage CMOS applicationsSalcedo, Javier A. / Zhu, Haiyang / Righter, Alan W. / Hajjar, Jean-Jacques et al. | 2008
- 333
-
CDM ESD failure modes and VFTLP testing for protection evaluationZhou, Yuanzhong / Hajjar, Jean-Jacques et al. | 2008
- 337
-
Analysis of metal routing technique in a novel dual direction multi-finger SCR ESD protection deviceDu Xiaoyang, / Dong Shurong Yan, / Liou, J.J. et al. | 2008
- 341
-
An investigation of single- and multi-finger nMOSFETs for the output pin ESD protection in integrated circuitsShen-Li Chen, / Guan-Jhong Chen, / Wu, B.-L. / Chen, Po-Yin / Chen, H.-H. et al. | 2008
- 349
-
Contact Block Reduction method for ballistic quantum transport with semi-empirical sp3d5s* tight binding band modelsHoon Ryu, / Klimeck, Gerhard et al. | 2008
- 353
-
Nanoelectronic device simulation using extended Hückel theory (EHT) and NEGFZhiping Yu, / Ximeng Guan, / Ming Zhang, / Qiushi Ran, et al. | 2008
- 361
-
Current transport in carbon nanotube transistorsPourfath, Mahdi / Kosina, Hans / Selberherr, Siegfried et al. | 2008
- 365
-
Graphene nanoribbons FETs for high-performance logic applications: Perspectives and challengesGrassi, Roberto / Gnudi, Antonio / Gnani, Elena / Reggiani, Susanna / Baccarani, Giorgio et al. | 2008
- 381
-
First-principles study of Schottky barrier formation of a semiconducting carbon nanotube-metal contactYu He, / Ming Zhang, / Jinyu Zhang, / Yan Wang, / Zhiping Yu, et al. | 2008
- 389
-
Statistical variations in 32nm thin-body SOI devices and SRAM cellsCheng, B. / Roy, S. / Brown, A. / Millar, C. / Asenov, A. et al. | 2008
- 393
-
Monostable-bistable transition logic element (MOBILE) model for single-electron transistorsYing Wang, / Weihua Han, / Xiang Yang, / Jianjun Chen, / Fuhua Yang, et al. | 2008
- 396
-
A numerical method to simulate THz-wave generation and detection of field-effect transistorsXuehao Mou, / Yu Chen, / Chenyue Ma, / Yuchi Che, / Jin He, et al. | 2008
- 404
-
Automated design of random dopant fluctuation resistant MOSFETsAndrei, Petru et al. | 2008
- 408
-
3-D simulation of geometrical variations impact on nanoscale FinFETsShimeng Yu, / Yuning Zhao, / Yuncheng Song, / Gang Du, / Jinfeng Kang, / Ruqi Han, / Xiaoyan Liu, et al. | 2008
- 416
-
Statistical prediction of NBTI-induced circuit agingWang, Wenping / Balakrishnan, Varsha / Bo Yang, / Yu Cao, et al. | 2008
- 432
-
The development of integration-based methods to extract parameters of two-terminal device modelsGarcia-Sanchez, Francisco J. / Ortiz-Conde, Adelmo / De Mercato, Giovanni et al. | 2008
- 436
-
Advanced spice modeling for 65nm CMOS technologyLianfeng Yang, / Meng Cui, / Ma, James / Jia He, / Wei Wang, / Waisum Wong, et al. | 2008
- 444
-
Dynamic-Adaptive Field Induced Charged Device Model (FICDM) compact tester modelWeyl, Thorsten / Clarke, Dave / Rinne, Karl et al. | 2008
- 448
-
Critical current (ICRIT) based SPICE model extraction for SRAM cellQiang Chen, / Balasubramanian, Sriram / Thuruthiyil, Ciby / Gupta, Mayank / Wason, Vineet / Subba, Niraj / Jung-Suk Goo, / Chiney, Priyanka / Krishnan, Srinath / Icel, Ali B. et al. | 2008
- 460
-
2-D modeling of nanoscale multigate MOSFETsFjeldly, Tor A. / Borli, Hakon et al. | 2008
- 464
-
Piezomobility description of strain-induced mobilityO'Neill, A. G. / Tsang, Y. L. / Gallacher, B. J. / Olsen, S.H. et al. | 2008
- 468
-
Simulation of charge trapping memory with novel structuresLiu, X. Y. / Song, Y. C. / Gang Du, / Han, R.Q. / Xia, Z. L. / Kim, D. / Lee, K.-H. et al. | 2008
- 472
-
Error correction and parasitics de-embedding for on-wafer transistor S-parameter measurements using 4-port techniquesNiu, Guofu / Wei, Xiaoyun et al. | 2008
- 476
-
Thermal noise performance in recent CMOS technologiesChen, Chih-Hung / Bigchoug Hung, / Sheng-Yi Huang, / Jin-Shyong Jan, / Liang, Victor / Chune-Sin. Yeh, et al. | 2008
- 480
-
The bipolar theory of the Bipolar Field-Effect Transistor: Recent advancesJie, Bin B. / Chih-Tang Sah, et al. | 2008
- 484
-
High performance MOSFET scaling study from bulk 45 nm technology generationWang, Xingsheng / Roy, Scott / Asenov, Asen et al. | 2008
- 488
-
Random doping fluctuation effects on static noise margins of 6-T SRAM cellsOniciuc, Liviu / Andrei, Petru et al. | 2008
- 492
-
Verilog-A model for phase change memory simulationKwong, K. C. / Lin Li, / Jin He, / Mansun Chan, et al. | 2008
- 496
-
Circuit simulations with uncertainties using affine arithmetic and piecewise affine statemodelsFreisfeld, M. / Olbrich, M. / Barke, E. et al. | 2008
- 500
-
Modeling of on-chip spiral inductors with a center-tapTian, Y. / Huang, F. Y. / Wang, Y. / Tang, X. / Jiang, N. et al. | 2008
- 512
-
Electrothermal coupling and threshold-switching simulation study on phase change memory (PCM) CellYiqun Wei, / Chi Liu, / Xinnan Lin, / Jin He, / Xing Zhang, / Mansun Chan, et al. | 2008
- 523
-
Design and simulation of on-chip magnetic inductors for RF ICsYuan Yuan, / Chen Yang, / Tian-Ling Ren, / Jing Zhan, / Li-Tian Liu, / Wang, Albert et al. | 2008
- 527
-
A new MOS varactor BSIM4 model with temperature effectZhanfei Chen, / Waisum Wong, / Jenhao Cheng, / Danmy He, et al. | 2008
- 530
-
Analysis of carbon-based interconnect breakdownKitsuki, Hirohiko / Tsutomu Saito, / Yamada, Toshishige / Fabris, Drazen / Wilhite, Patrick / Suzuki, Makoto / Yang, Cary Y. et al. | 2008
- 537
-
Wafer-scale processing of aligned carbon nanotubes for future integrated circuitsChongwu Zhou, et al. | 2008
- 544
-
Comparison of spintronics and nanoelectronics for information processingWang, Kang L. / Ovchinnikov, Igor V. / Khitun, Alex / Ming Bao, et al. | 2008
- 549
-
Electronic quantum-dot cellular automataSnider, Gregory L. / Orlov, Alexei O. / Joshi, Vishwanath / Joyce, Robin A. / Qi, Hua / Yadavalli, Kameshwar K. / Bernstein, Gary H. / Fehlner, Thomas P. / Lent, Craig S. et al. | 2008
- 553
-
Temperature dependent transport in nanotube bioconjugatesJing, X. / Singh, K. V. / Wang, X. / Ozkan, M. / Ozkan, C.S. et al. | 2008
- 556
-
Emerging transport behavior in manganites wiresWard, T.Z. / Shen, Jian et al. | 2008
- 559
-
Performance variation adaptive differential signaling via Carbon Nanotube bundlesBao Liu, et al. | 2008
- 567
-
Reconfigurable single-electron transistor logic gatesSui, Bing-cai / Chi, Ya-qing / Zhou, Hai-liang / Xing, Zuo-cheng / Fang, Liang et al. | 2008
- 571
-
The design, fabrication and characterization of GaAs-based RTT with groove and self-aligned Schottky gate structureWei-Lian Guo, / Ping-Juan Niu, / Xiao-yun Li, / Chang-Yun Miao, / Wei Wang, / Xin Yu, / Yao-Hui Shang, / Zhen Feng, / Guo-Ping Tian, / Ya-Li Li, et al. | 2008
- 575
-
Extended Toffoli gate implementation with photonsJia-Lin Chen, / Xiao-Ying Zhang, / Ling-Li Wang, / Xin-Yuan Wei, / Wen-Qing Zhao, et al. | 2008
- 579
-
Nano silicide formation in nano Si wiresTu, K. N. / Kuo-Chang Lu, / Yi-Chia Chou, et al. | 2008
- 582
-
Carbon nanotubes for potential electronic and optoelectronic applicationsChen, Jia et al. | 2008
- 584
-
Integration of carbon nanotubes in microelectronicsSwart, Jacobus / Moshkalev, Stanislav et al. | 2008
- 588
-
Theoretical and experimental results of a fully ballistic nano-FET with high gainGremion, E. / Niepce, D. / Gennser, U. / Cavanna, A. / Jin, Y. et al. | 2008
- 592
-
ZnO nanorods on plastic substrate from zinc nitrate hexahydrate and hexamethylenetetramine solutionAdriyanto, Feri / Po-Wen Sze, / Yeong-Her Wang, et al. | 2008
- 596
-
High temperature synthesis of In-doped ZnO nano-structures on InP (001) substrate by pulsed laser depositionDongqi Yu, / Jiao Li, / Lizhong Hu, / Hao Hu, / Zhang, Heqiu / Fu Qiang, / Chen Xi, et al. | 2008
- 600
-
Theoretical investigation into I–V characteristics of the benzene-molecular systemLi Na, / Cai Min, et al. | 2008
- 604
-
Issues and controversies in NBTI degradation and recovery mechanisms for p-MOSFETs with SiON gate dielectricsMing-Fu Li, / Huang, Daming / Liu, W.J. / Liu, Z.Y. / Yong Luo, / Liao, C.C. / Zhang, L.F. / Gan, Z.H. / Waisum Wong, et al. | 2008
- 608
-
Recent progress in understanding the instability and defects in gate dielectricsZhang, J. F. / Chang, M. H. / Ji, Z. / Zhang, W. D. et al. | 2008
- 612
-
Factors for negative bias temperature instability improvement in deep sub-micron CMOS technologyLiao, C.C. / Gan, Z.H. / Wu, Y.J. / Zheng, K. / Guo, R. / Ju, J.H. / Jay Ning, / He, Allan / Ye, Shirly / Liu, Eric et al. | 2008
- 616
-
Gate insulator process dependent NBTI in SiON p-MOSFETsMahapatra, S. / Maheta, V. D. et al. | 2008
- 624
-
Comprehensive study of bias temperature instability on polycrystalline silicon thin-film transistorsHuang, C.-F. / Chen, Y.-T. / Sun, H.-C. / Liu, C. W. / Hsu, Y.-C. / Shih, C.-C. / Lin, K.-C. / Chen, J.-S. et al. | 2008
- 628
-
CDM protection of high voltage LDMOS for automotive applicationsGill, Chai / Goyal, Abhijat et al. | 2008
- 636
-
Recovery characteristics of NBTI of pMOSFETs with oxynitride dielectrics under drain biasJiaqi Yang, / Junyan Pan, / Lihua Huang, / Xiaoyan Liu, / Ruqi Han, / Jinfeng Kang, / Zhang, L. F. / Zhu, Z. W. / Liao, C. C. / Wu, H. M. et al. | 2008
- 640
-
Degradation of metal induced laterally crystallized n-type polysilicon TFTs under dynamic gate voltage stressesHuaisheng Wang, / Mingxiang Wang, / Man Wong, et al. | 2008
- 644
-
FinFET reliability study by forward gated-diode methodChenyue Ma, / Bo Li, / Yiqun Wei, / Lining Zhang, / Jin He, / Xing Zhang, / Xinnan Lin, et al. | 2008
- 648
-
Characteristics of NBTI in pMOSFETs with thermally and plasma nitrided gate oxidesLiu, W.J. / Liu, Z.Y. / Luo, Y. / Jiao, G.F. / Huang, X.Y. / Huang, D. / Liao, C. C. / Zhang, L.F. / Gan, Z. H. / Wong, W. et al. | 2008
- 659
-
New method to evaluate the total dose radiation effect of MOS devicesHao Tang, / Yi Wang, / Jinyan Wang, / Yijun Zheng, / Yufeng Jin, et al. | 2008
- 662
-
Fabrication and characteristics of Germanium-On-Insulator substratesJin, Hai-Yan / Liu, Eric Z. / Cheung, Nathan W. et al. | 2008
- 669
-
Current status and possibilities of wafer-bonding-based SOI technology in 45nm or below CMOS LSIsMakoto Yoshimi, / Delpra, Daniel / Cayrefourcq, Ian / Celler, George / Mazure, Carlos / Aspar, Bernard et al. | 2008
- 673
-
Evolution of wetting layers in InAs/GaAs quantum-dot system studied by reflectance difference spectroscopyYonghai Chen, / Chenguang Tang, / Bo Xu, / Peng Jin, / Zhanguo Wang, et al. | 2008
- 681
-
Process strain induced by nickel germanide on (100) Ge substratePeng, C.-Y. / Yang, Y.-H. / Lin, C.-M. / Yang, Y.-J. / Huang, C.-F. / Liu, C.W. et al. | 2008
- 684
-
Local strain evaluation for freestanding Si membranes by microphotoluminescence using UV laser excitationWang, Dong / Yang, Haigui / Morioka, Jun / Kitamura, Tokuhide / Nakashima, Hiroshi et al. | 2008
- 696
-
High epitaxial growth rate of 4H-SiC using TCS as silicon precursorGang Ji, / Guosheng Sun, / Jin Ning, / Xingfang Liu, / Yongmei Zhao, / Lei Wang, / Wanshun Zhao, / Yiping Zeng, et al. | 2008
- 703
-
Enhanced ferroelectric properties of BiFeO3/Bi3.15Nd0.85Ti3O12 multilayer capacitors at room temperature applied in dielectric devicesXie, Dan / Yongyuan Zang, / YafengLuo, / Ren, Tianling / Liu, Litian et al. | 2008
- 734
-
Synthesis of indium phosphide polycrystallineSun, Niefeng / Luhong Mao, / Sankaranarayanan, K. / Xiaolong Zhou, / Weilian Guo, / Xiawan Wu, / Sun, Tongnian et al. | 2008
- 749
-
Study on CdZnTe crystal growth parameters optimizationLingen Dai, / Wenbin Sang, / Jiahua Min, / Chenying Zhou, / Ying Gu, / Changjun Wang, / Yongbiao Qian, et al. | 2008
- 773
-
Surfaces and interfaces for controlled defect engineeringSeebauer, Edmund G. et al. | 2008
- 777
-
Impurity effect on internal gettering in Czochralski siliconJiahe Chen, / Deren Yang, / Xiangyang Ma, / Duanlin Que, et al. | 2008
- 780
-
Fabrication of high-k gate dielectrics using plasma oxidation and subsequent annealing of Hf/SiO2/Si structureNakashima, Hiroshi / Sugimoto, Youhei / Suehiro, Yuusaku / Yamamoto, Keisuke / Kajiwara, Masanari / Hirayama, Kana / Wang, Dong et al. | 2008
- 784
-
Improvement of dielectric properties of ZrO2 films prepared by limited reaction sputteringZhou, Ying / Kojima, Nobuo / Sasaki, Kimihiro / Minoru Kumeda, et al. | 2008
- 788
-
Characterization of low-dielectric-constant SiCON films grown by PECVD under different RF powerLei Zhang, / Hao-Wen Guo, / Chi Zhang, / Wei Zhang, / Shi-Jin Ding, et al. | 2008
- 792
-
Low temperature poly-germanium growth process on insulating substrate using palladium-induced lateral crystallizationRuilong Xie, / Weiming Chen, / Mingbin Yu, / Oh Sue Ann, / Sudhiranjan Tripathy, / Chunxiang Zhu, et al. | 2008
- 796
-
The difference of chemical binding states between ultra shallow plasma doping (PD) and ion implantation (I/I) samples by using hard X-ray photoelectron spectroscopy (HX-PES)Jin, C. G. / Kobata, M. / Sasaki, Y. / Okashita, K. / Nakamoto, K. / Mizuno, B. / Ikenaga, E. / Kobayashi, K. et al. | 2008
- 807
-
A critical review of charge-trapping NAND flash devicesHang-Ting, / Sheng-Chih, / Tzu-Hsuan, / Pei-Ying, / Szu-Yu Wang, / Kuang-Yeu Hsieh, / Liu, Rich / Chih-Yuan Lu, et al. | 2008
- 811
-
Improved high temperature retention and endurance in HfON trapping memory with double quantum barriersChin, Albert / Yang, H. J. / Lin, S. H. / Liao, C. C. / Chen, W. J. / Yeh, F. S. et al. | 2008
- 815
-
NAND flash read/write cache directions for the personal computing platformPon, Harry et al. | 2008
- 819
-
Cell devices for high-density flash memoryJong-Ho Lee, / Young Min Kim, / Sung-Ho Bae, / Kyung-Rok Han, / Il-Hwan Cho, et al. | 2008
- 827
-
Scaling of Stacked gate technology for embedded NVMShum, D. / Kakoschke, R. / Strenz, R. et al. | 2008
- 831
-
Memory evolution: Multi-functioning Unified-Random Access Memory (URAM)Yang-Kyu Choi, / Jin-Woo Han, et al. | 2008
- 835
-
SONOS type memory cell with ALD LaAlO blocking oxide for high speed operationWei He, / Chan, Daniel S.H. / Byung-Jin Cho, et al. | 2008
- 839
-
A novel floating gate engineering technique for improved data retention of flash memory devicesPu, Jing / Chan, Daniel S. H. / Cho, Byung Jin et al. | 2008
- 843
-
Embedded erase failure in NOR flash EEPROM memoryLim, Bryan / Wong, Vivien / Gooi, L. C. / Lee, Cecilia / Francis, Caroline / Lee, K. Y. et al. | 2008
- 846
-
Performance improvement of flash memory with a novel quasi-SOI structurePoren Tang, / Dake Wu, / Ru Huang, et al. | 2008
- 850
-
FEDRAM: A capacitor-less DRAM based on ferroelectric-gated field-effect transistorMa, T.P. et al. | 2008
- 853
-
Development of three-dimensional MOS structures from trench-capacitor DRAM cell to pillar-type transistorSunami, Hideo et al. | 2008
- 861
-
CMOS-compatible zero-mask One Time Programmable (OTP) memory designWan Tim Chan, / Ng, K. P. / Lee, M. C. / Kwong, K. C. / Lin Li, / Ng, Ricky M. Y. / Tsz Yin Man, / Mansun Chan, et al. | 2008
- 865
-
Buffer layer dependence of B3.15Nd0.85Ti3O12 (BNdT) based MFIS capacitor for FeFET applicationYafeng Luo, / Xie, Dan / Yongyuan Zang, / Rui Song, / Tianling Ren, / Litian Liu, et al. | 2008
- 888
-
Characteristics of sub-100nm ferroelectric field effect transistor with high-k buffer layerRui Jin, / Yuncheng Song, / Min Ji, / Honghua Xu, / Jinfeng Kang, / Ruqi Han, / Xiaoyan Liu, et al. | 2008
- 891
-
Challenge of nanoelectronic materials and devices toward new nonvolatile memoriesNishi, Yoshio / Jameson, John et al. | 2008
- 897
-
Ionic memory - materials and device characteristicsKozicki, Michael N. et al. | 2008
- 901
-
Resistive switching characteristics of metal oxide for nonvolatile memory applicationsDong, R. / Hasan, M. / Choi, H. J. / Lee, D. S. / Pyun, M. B. / Seong, D. J. / Hwang, Hyunsang et al. | 2008
- 905
-
Excellent resistive switching characteristics of Cu doped ZrO2 and its 64 bit cross-point integrationMing Liu, / Guan, Weihua / Shibing Long, / Qi Liu, / Wei Wang, et al. | 2008
- 909
-
Characteristics of a highly scalable bridge phase change memoryChen, Yi-Chou / Lin, Yuyu / Shih-Hung Chen, / Huai-Yu Cheng, / Hsiang-Lan Lung, / Raoux, Simone / Rettner, Charles T. / Burr, Geoffrey W. / Lam, Chung H. et al. | 2008
- 913
-
Si-based two-terminal resistive switching nonvolatile memorySung Hyun Jo, / Wei Lu, et al. | 2008
- 917
-
Enhancement of endurance for CuxO based RRAM cellYin, M. / Zhou, P. / Lv, H. B. / Tang, T. A. / Chen, B.A. / Lin, Y.Y. / Bao, A. / Chi, M. H. et al. | 2008
- 925
-
Bipolar resistive switching behaviors of Ag/Si3N4/Pt memory deviceSun, B. / Liu, L. F. / Wang, Y. / Han, D. D. / Liu, X. Y. / Han, R. Q. / Kang, J. F. et al. | 2008
- 928
-
Comparison of PN diodes and FETs as Phase Change Memory (PCM) driving devicesLin Li, / Lu, Kailiang / Kwong, K. C. / Jin He, / Mansun Chan, et al. | 2008
- 932
-
The parasitic effects induced by the contact in RRAM with MIM structureLijie Zhang, / Ru Huang, / Wang, Albert Z. H. / Dake Wu, / Runsheng Wang, / Yongbian Kuang, et al. | 2008
- 936
-
Bistable resistive switching of pulsed laser deposited polycrystalline La0.67Sr0.33MnO3 filmsLina Huang, / Bingjun Qu, / Litian Liu, et al. | 2008
- 940
-
Understanding the resistance switching mechanisms of binary metal oxides with the percolation modelYang, J.F. / Liu, L.F. / Sun, B. / Tang, H. / Xu, N. / Wang, Y. / Liu, X.Y. / Han, R.Q. / Kang, J.F. / Ma, T.P. et al. | 2008
- 943
-
SG-TFS: A versatile embedded flash with silicon nanocrystals as the storage mediumChang, Ko-Min et al. | 2008
- 947
-
High-performance hetero-nanocrystal memoriesBei Li, / Yan Zhu, / Huimei Zhou, / Jianlin Liu, et al. | 2008
- 951
-
Physical and electrical characteristics of atomic layer deposited RuO2 nanocrystals for nanoscale nonvolatile memory applicationsBanerjee, W. / Maikap, S. et al. | 2008
- 955
-
Gradual Ge1−xSix/Si heteronanocrystals based non-volatile floating gate memory device with asymmetric tunnel barriersJin Lu, / Guangli Wang, / Yubin Chen, / Zheng Zuo, / Yi Shi, / Lin Pu, / Youdou Zheng, et al. | 2008
- 958
-
Enhanced flash memory device characteristics using ALD TiN/Al2O3 nanolaminate charge storage layersMaikap, S. / Rahaman, S. Z. / Banerjee, W. / Lin, C.-H. / Tzeng, P.-J. / Wang, C.-C. / Kao, M.-J. / Tsai, M.-J. et al. | 2008
- 966
-
Enhancing silicon photovoltaics research via integrated circuit wafer engineering defect science experiences and industry/University ConsortiaRozgonyi, G. A. / Lu, J. / Wagener, M. / Yu, X. / Park, Y. / Yu, L. et al. | 2008
- 970
-
Metal induced continuous grain polycrystalline silicon thin film transistorsHoi Sing Kwok, / Wong, Man / Zhao, Shuyun / Meng, Zhiguo et al. | 2008
- 978
-
Optimization of charge pumping technique in polysilicon TFTs for geometric effect elimination and trap state density extractionLei Lu, / Mingxiang Wang, / Man Wong, et al. | 2008
- 982
-
Fabrication and characteristics of ZnO-based thin film transistorsDedong Han, / Yi Wang, / Shengdong Zhang, / Lei Sun, / Jinfeng Kang, / Xiaoyan Liu, / Gang Du, / Lifeng Liu, / Ruqi Han, et al. | 2008
- 985
-
Monolithic integration of light emitting diodes, photodetector and receiver circuit in standard CMOS technologyBeiJu Huang, / XuZhang, / ZanDong, / WeiWang, / HongDa Chen, et al. | 2008
- 988
-
Design and fabrication of Bragg reflectors based on SU-8Zhen Shu, / Jing Wan, / Shen-Qi Xie, / Bing-Rui Lu, / Yifang Chen, / Xin-Ping Qu, / Ran Liu, et al. | 2008
- 992
-
Readout and signal processing electronics for 2×2 CZT detectors in parallelZhubin Shi, / Wenbin Sang, / Yongbiao Qian, / Jianyong Teng, / Jiahua Min, / Jianrong Fan, et al. | 2008
- 996
-
Progress in quantum dots for classical and non-classical light sourcesArakawa, Yasuhiko et al. | 2008
- 1002
-
Developing bright and color-saturated quantum dot light emitting diodes towards next generation displays and solid state lightingXu, Jian / Tan, Zhanao / Zhu, Ting / Zhang, Fan / Hedrick, Brittany / Pickering, Shawn / Cheng, An / Thein, Myo / Wang, Andrew Y. / Sun, Qingjiang et al. | 2008
- 1005
-
High speed cmos imaging: Four years laterCharbon, Edoardo et al. | 2008
- 1009
-
Flexible plastic single-crystal si CMOSMa, Zhenqiang / Yuan, Hao-Chih / Celler, George K. et al. | 2008
- 1013
-
Complex-coupled wavelength-selectable dfb laser source for coarse WDMXie, Hong-yun / Li, Jia / Gan, Jun-ning / Shen, Pei / Huang, Yi-wen / Huang, Lu / Zhang, Wan-rong / Wang, Wei et al. | 2008
- 1017
-
Improved white organic light-emitting devices with dual-emission-layer designHsu, Wei-Chou / Lai, Ying-Nan / Lee, Ching-Sung / Yeh, Su-Wei / Lai, Wen-Feng / Lai, Wen-Hsuan et al. | 2008
- 1025
-
Effect of the ratio of hole radius to lattice spacing on transmission characteristics for metal/dielectric photonic crystalGongli Xiao, / Xiang Yao, / Xinmin Ji, / Jia Zhou, / Zongming Bao, / Yiping Huang, et al. | 2008
- 1029
-
Instability for organic field effect transistors caused by dipole on insulator surfaceSuemori, Kouji / Taniguchi, Misuzu / Kamata, Toshihide et al. | 2008
- 1041
-
Formation of SnOx nanoparticles at the AIDCN/ITO interface in organic cross-point memory devicesYun Li, / Yedan Sun, / Danfeng Qiu, / Jianmin Zhu, / Lijia Pan, / Lin Pu, / Yi Shi, et al. | 2008
- 1048
-
AZO transparent thin film with E-gun evaporate procedure and application on light emitting diodeChia-Hsuan Wu, / Che-Kai Lin, / Chao-Wei Lin, / Hsien-Chin Chiu, et al. | 2008
- 1052
-
Extremely broadband InGaAsP/InP superluminescent diodesShmavonyan, G.Sh. / Zendehbad, S.M. et al. | 2008
- 1062
-
Bilayer organic field-effect transistors (OFETs) with better stabilityLiu, Ge / Liu, Ming / Shang, Liwei / Tu, Deyu / Zhen, Lijuan / Liu, Xinghua et al. | 2008
- 1066
-
III-N based electronicsShur, Michael S. / Simin, Grigory / Gaska, Remis et al. | 2008
- 1070
-
Recent progress and material issues of high power and high frequency AlGaN/GaN HFETsNanishi, Yasushi et al. | 2008
- 1078
-
Present and future prospects of gan-based power electronicsUeda, Daisuke / Hikita, Masahiro / Nakazawa, Satoshi / Nakazawa, Kazushi / Ishida, Hidetoshi / Yanagihara, Manabu / Inoue, Kaoru / Ueda, Tetsuzo / Uemoto, Yasuhiro / Tanaka, Tsuyoshi et al. | 2008
- 1082
-
The comprehensive study of liquid phase oxidation on GaAs-based transistor applicationsWang, Yeong-Her et al. | 2008
- 1098
-
Improved performance of 3D tri-gate 4H-SiC MESFETs with recessed drift regionJinping Zhang, / Bo Zhang, / Zhaoji Li, et al. | 2008
- 1106
-
Investigations on In0.2Ga0.8AsSb/GaAs high electron mobility transistors with gate passivationsLee, Ching-Sung / He, Ciou-Sheng / Hsu, Wei-Chou / Su, Ke-Hua / Yang, Ping-Chang / Chou, Bo-I / Kao, An-Yung et al. | 2008
- 1114
-
AlGaN/AlN/GaN/InGaN/GaN DH-HEMTs with improved mobility grown by MOCVDJian Tang, / Wang, Xiaoliang / Tangsheng Chen, / Hongling Xiao, / Ran, Junxue / Minglan Zhang, / Hu, Guoxin / Chun Feng, / Qifeng Hou, / Meng Wei, et al. | 2008
- 1118
-
Suppression of intersubband transition by applied electrical fields in AlN/GaN coupled double quantum wellsCen, L. B. / Shen, B. / Qin, Z. X. / Zhang, G. Y. et al. | 2008
- 1122
-
Magnetotransport properties of the two-dimensional electron gas in AlxGa1-xN/GaN heterostructures under illuminationTang, Ning / Shen, Bo / Han, Kui / Yang, Zhi-Jian / Qin, Zhi-Xin / Zhang, Guo-Yi / Lin, Tie / Zhou, Wen-Zheng / Shang, Li-Yan / Chu, Jun-Hao et al. | 2008
- 1130
-
A 45nm low power bulk technology featuring carbon co-implantation and laser anneal on 45°-rotated substrateYuan, J. / Chan, V. / Eller, M. / Rovedo, N. / Lee, H. K. / Gao, Y. / Sardesai, V. / Kanike, N. / Vidya, V. / Kwon, O. et al. | 2008
- 1134
-
Process challenges in CMOS FEOL for 32nm nodeGuohua Wang, / Hanming Wu, et al. | 2008
- 1138
-
A study of inverse narrow width effect of 65nm low power CMOS technologyLiu Xinfu, / Lim Kheeyong, / Wu Zhihua, / Xiong Zhibin, / Ding Yongping, / Nong Hao, / Wu Yanping, / Shen Yanping, / Tang Bin, / Lim Louis, et al. | 2008
- 1142
-
Yield monitor for embedded-sige process optimizationXu Ouyang, / Shwu-Jen Jeng, / Ishtiaq Ahsan, / Waite, Andrew / Barth, Karl / Nayfeh, Hasan M. / Yunyu Wang, et al. | 2008
- 1146
-
A novel, low-cost deep trench decoupling capacitor for high-performance, low-power bulk CMOS applicationsChengwen Pei, / Booth, Roger / Ho, Herbert / Naoyoshi Kusaba, / Xi Li, / Brodsky, MaryJane / Parries, Paul / Huiling Shang, / Divakaruni, Rama / Iyer, Subramanian et al. | 2008
- 1150
-
A simple solution of the WSix peeling issue at MDDR technologyHanYong Chae, / SungYoung Lee, / Park, TaeHoon / HyunSung Lee, / KwangHee Lee, / JuWon Seo, / Kyue Sang Choi, et al. | 2008
- 1154
-
A new process for self-aligned silicon-on-insulator with block oxide and its memory application for 1T-DRAMYi-Ming Tseng, / Jyi-Tsong Lin, / Yi-Chuen Eng, / Shiang-Shi Kang, / Hung-Jen Tseng, / Ying-Chieh Tsai, / Bao-Tang Jheng, / Po-Hsieh Lin, et al. | 2008
- 1158
-
Yield analysis methods in 65nm technology DevelopmentSusu Wei, / Liu, Eric / Wei, lucy et al. | 2008
- 1166
-
65nm poly gate etch challenges and solutionsYi Huang, / Shan-Shan Du, / Hai-Yang Zhang, / Hai-Hua Chen, / Qiu-Hua Han, / Shih-Mou Chang, et al. | 2008
- 1170
-
Polyoxide grown on metal induced re-crystallized polysilicon combined with CF4 plasmaChyuan-Haur Kao, / Lee, C. H. / Chan, T. C. / Chiu, J. S. / Chen, C. S. / Chen, K. S. / Chuang, C. S. / Chen, S. K. et al. | 2008
- 1177
-
Single wafer all-wet photo resist strip process for LDD implant in CMOS technologyBonfanti, Paolo / Sellmer, Reinhard / Liu, Glen / Youfeng He, / Liu Hao, / Henry, Sally-Ann / Deutschmann, Lutz / Archer, Leo et al. | 2008
- 1180
-
GaAs/Si metal waferbonding for heterogeneous integrated circuitsBickford, Justin / Lau, S. S. / Yu, Paul K. L. et al. | 2008
- 1188
-
New three-dimensional integration technology using reconfigured wafersMitsumasa Koyanagi, / Takafumi Fukushima, / Tetsu Tanaka, et al. | 2008
- 1192
-
Wire sweep improvement in low cost mannerChiang, C.F. et al. | 2008
- 1195
-
Dynamic scanning method to clarify the mechanism of WLCSP package reliability issuePo-Ying Chen, / Chwei-Shyong Tsai, / Ming-Hsiung Tsai, / Heng-Yu Kung, / Shen-Li Chen, / Jing, M. H. / Wen-Kuan Yeh, et al. | 2008
- 1203
-
Investigation of low cost consumer electronic system using 1066-Mb/s DDR2 interface designNansen Chen, / Hongchin Lin, et al. | 2008
- 1207
-
Thermal accumulation improvement for fabrication manufacturing of monolithic 3D integrated circuitsLiu, Y.-T. / Lee, M. H. / Chen, H. T. / Huang, C.-F. / Peng, C.-Y. / Lee, L.-S. / Kao, M.-J. et al. | 2008
- 1211
-
The study on the thermal behavior of packaged power LEDsGuangchen Zhang, / Shiwei Feng, / Lu Wang, / Xuesong Xie, / Lichao Gao, / Haijie Meng, et al. | 2008
- 1215
-
Case study for particle agglomeration during chemical mechanical polishing processYongqing Lan, / Yuzhuo Li, et al. | 2008
- 1219
-
Chip Package Interaction and mechanical reliability impact on Cu/ultra low-k interconnects in Flip Chip packageUchibori, Chihiro J. / Xuefeng Zhang, / Ho, Paul S. / Nakamura, T. et al. | 2008
- 1223
-
Investigation and reduction of metal voids post-CMP in dual damascene processJiaxiang Nie, / Yun Kang, / Ruipeng Yang, / Na Su, / Weiye He, / Sheng Liu, / Xiangtao Kong, et al. | 2008
- 1227
-
Cu contact on NiSi/Si with a Ru/TaN barrier stackZhao, Ying / Zhou, Mi / Ji Li, / Ru, Guo-Ping / Jiang, Yu-Long / Bing-Zong Li, / Xin-Ping Qu, et al. | 2008
- 1235
-
Mechanism of via etch striation and its impact on contact resistance & breakdown voltage in 65nm cu low-k interconnectsWu Sun, / Man-Hua Shen, / Xin-Peng Wang, / Hai-Yang Zhang, / Xiao-Ming Yin, / Shih-Mou Chang, et al. | 2008
- 1238
-
Challenges and solutions of post etch post ash residue removalPeng, Libbert / Bing Liu, / Yong Gong, / Shumin Wang, et al. | 2008
- 1242
-
Investigation on the metal-clipping issue after FSG depositionYan-ping Liu, / Fei Li, et al. | 2008
- 1245
-
The impact of interface quality on High-K gate dielectric devices for 32 nm technology and beyondTseng, Hsing-Huang et al. | 2008
- 1249
-
Gate-first high-k/metal gate stack for advanced CMOS technologyNara, Y. / Mise, N. / Kadoshima, M. / Morooka, T. / Kamiyama, S. / Matsuki, T. / Sato, M. / Ono, T. / Aoyama, T. / Eimori, T. et al. | 2008
- 1252
-
Interface engineering for high-k/Ge gate stackXie, Ruilong / Zhu, Chunxiang et al. | 2008
- 1256
-
Theoretical investigations on metal/high-k interfacesShiraishi, K. / Nakayama, T. / Miyazaki, S. / Ohta, A. / Akasaka, Y. / Watanabe, H. / Nara, Y. / Yamada, K. et al. | 2008
- 1260
-
Integrate LaOx-capping layer into metal gated CMOS devices using a gate-first approach for sub-45nm technology node and the device reliability thereofYu, HongYu / Chang, S.Z / Kubicek, S. / Schram, T. / Wang, X.P. / Biesemans, S. et al. | 2008
- 1268
-
A Study of hafnium dioxide (HfO2) dielectric chargesZoolfakar, Ahmad Sabirin / Hashim, Hashimah / Taylor, Steve et al. | 2008
- 1288
-
Production-worthy approach of plasma doping (PD)Mizuno, B. / Sasaki, Y. / Jin, C.G. / Okashita, K. / Nakamoto, K. / Kitaoka, T. et al. | 2008
- 1292
-
Ion implantation technology and system for beyond 45nm node devicesTanjyo, Masayasu / Nagayama, Tsutomu / Hamamoto, Nariaki / Umisedo, Sei / Koga, Yuji / Maehara, Noriaki / Une, Hideyasu / Nogami, Takashi / Hino, Masayoshi / Kobayashi, Tomoaki et al. | 2008
- 1296
-
Precision ion implantation: A critical tool for advanced device processingGossmann, Hans-Joachim L. / Thanigaivelan, Thirumal / Hatem, Christopher et al. | 2008
- 1300
-
Atomic oxygen effects on NiSi and Ni(Pt)Si: Novel oxidation mechanismManandhar, Sudha / Copp, Brian / Kelber, Jeffry et al. | 2008
- 1304
-
Ni silicidation on heavily doped Si substratesAhmet, Parhat / Shiozawa, Takashi / Nagahiro, Koji / Nagata, Takahiro / Kakushima, Kuniyuki / Tsutsui, Kazuo / Chikyow, Toyohiro / Iwai, Hiroshi et al. | 2008
- 1308
-
Atomic level solutions® for advanced microelectronic applicationsSenzaki, Yoshi / Seidel, T. / McCormick, J. / Kim, G.Y. / Kim, H.Y. / Karim, Z. / Lu, B. / Ramanathan, S. / Lindner, J. / Silva, H. et al. | 2008
- 1312
-
Atomically controlled CVD processing for future Si-based devicesMurota, Junichi / Sakuraba, Masao / Tillack, Bernd et al. | 2008
- 1316
-
Ultimate top-down etching processes for future nanoscale devicesSamukawa, Seiji / Kubota, Tomohiro et al. | 2008
- 1320
-
Metal ions drift in ultra-low K dielectricsOu, Y. / Wang, P.-I. / Lu, T.-M. et al. | 2008
- 1324
-
Low-k breakdown improvement in 65nm dual-damascene Cu processWang, Qi / Gan, Howard / Zhao, Linlin / Zheng, Kevin / Bei, Emily / Ning, Jay et al. | 2008
- 1328
-
TCAD application in process optimization to reduce source/drain junction capacitance of PMOS transistor in the development of 65nm low leakage technologyXuejie Shi, / Scott Lee, / Haohua Ye, / Jianhua Ju, / Waisum Wong, et al. | 2008
- 1336
-
Theoretical study of low-energy electron penetration in resist-substrate target by Monte Carlo simulationLiming Ren, / Baoqin Chen, / Ru Huang, / Xing Zhang, et al. | 2008
- 1340
-
A simple nano-scale patterning technology for FinFET fabricationXu Han, / Chengen Yang, / Dingyu Li, / Shengdong Zhang, et al. | 2008
- 1343
-
60GHz high speed wireless link - technology and design challengesWang, Dawn / Cahoon, Ned et al. | 2008
- 1348
-
Low-power 60GHz CMOS pulse communicationFujishima, Minoru et al. | 2008
- 1352
-
Technology and design considerations for millimeter-wave circuitsRieh, Jae-Sung / Sooyeon Kim, et al. | 2008
- 1357
-
Crest factor reduction and digital pre-distortion for wireless RF power amplifier optimizationMiracco, Ted et al. | 2008
- 1361
-
Overview of WiMAX system and related power amplifier designPing Li, / DiCarlo, Paul et al. | 2008
- 1365
-
Gate oxide breakdown location effect on power amplifier and mixed-signal circuitsYuan, J. S. / Ma, J. et al. | 2008
- 1373
-
An ultra-wideband bandpass filter using EBG structureHuang, J. -M. / He, Y. -M. / Deng, Z. L. et al. | 2008
- 1376
-
Design and realization of a new compact branch-line coupler using defected ground structureHuaming Wang, / Xueguang Liu, / Wenfeng Cai, / Hongfang Cao, et al. | 2008
- 1380
-
Two 130nm CMOS class-D RF power amplifiers suitable for polar transmitter architecturesCijvat, Ellie / Sjoland, Henrik et al. | 2008
- 1384
-
A miniaturized high-efficiency GaAs HBT power amplifier used in TD-SCDMA handset applicationBi Xiaojun, / Zhang Haiying, / Huang Qinghua, / Chen Liqiang, / Yin Junjian, et al. | 2008