Process Development and Integration on Si Substrate for Ion trap-based Quantum Processors (English)
- New search for: Li, H. Y.
- New search for: Liu Huihong, Clarence
- New search for: Jaafar, Norhanani
- New search for: Ahmadi, Morteza
- New search for: Kumar, Mishra Dileep
- New search for: Simonl, Goh Chun Kiat
- New search for: YanYan, Zhou
- New search for: Mukherjee, Manas
- New search for: Jien, Chui King
- New search for: Li, H. Y.
- New search for: Liu Huihong, Clarence
- New search for: Jaafar, Norhanani
- New search for: Ahmadi, Morteza
- New search for: Kumar, Mishra Dileep
- New search for: Simonl, Goh Chun Kiat
- New search for: YanYan, Zhou
- New search for: Mukherjee, Manas
- New search for: Jien, Chui King
In:
2023 IEEE 25th Electronics Packaging Technology Conference (EPTC)
;
253-256
;
2023
-
ISBN:
- Conference paper / Electronic Resource
-
Title:Process Development and Integration on Si Substrate for Ion trap-based Quantum Processors
-
Contributors:Li, H. Y. ( author ) / Liu Huihong, Clarence ( author ) / Jaafar, Norhanani ( author ) / Ahmadi, Morteza ( author ) / Kumar, Mishra Dileep ( author ) / Simonl, Goh Chun Kiat ( author ) / YanYan, Zhou ( author ) / Mukherjee, Manas ( author ) / Jien, Chui King ( author )
-
Published in:
-
Publisher:
- New search for: IEEE
-
Publication date:2023-12-05
-
Size:4845686 byte
-
ISBN:
-
DOI:
-
Type of media:Conference paper
-
Type of material:Electronic Resource
-
Language:English
-
Source:
Table of contents conference proceedings
The tables of contents are generated automatically and are based on the data records of the individual contributions available in the index of the TIB portal. The display of the Tables of Contents may therefore be incomplete.
- 1
-
A Novel Packaging Solution for Photonic Engine ApplicationFeng, Xu / Zhenyu, Li / Lee, Wen et al. | 2023
- 5
-
Design and Fabrication of a Test Board Assembly for a Silicon Photonics LIDAR DeviceFincato, Antonio / Caltabiano, Daniele / Carastro, Filippo / Maggi, Luca / Shaw, Mark / Diotti, Paolo / Rodrigo, Aina Serrano / Rotta, Davide / Chiesa, Marco / Gianini, Linda et al. | 2023
- 12
-
The laser cavity electric connection line with SnAg solder for laser flip chip bondingChi, Ting Ta / Li, Zhenyu / Yu, Haitao / Yoo, Jae Ok / Sundaram, Arvind / Feng, Xu / Choong, Chong Ser / Lee, Wen et al. | 2023
- 16
-
Physical Verification for 3D Heterogeneous Integrated Electronic Photonic Integration designs using equation-based methods for Non-Manhattan structuresMani, Raju / Nair Gourikutty, Sajay Bhuvanendran / Dutta, Rahul / Liow, Jason et al. | 2023
- 20
-
Solutions for Process Challenges on Fan-Out Wafer Level Packaging of Electronic-Photonic IntegrationChia, Lai Yee / Bhuvanendran Nair Gourikutty, Sajay / Ho, Soon Wee et al. | 2023
- 24
-
Assembly process characterization of 3D Stacking of Heterogeneous ChipletsSiang Lim, Sharon Pei / Long Lau, Boon / Chai, Tai Chong / Liang Ye, Yong et al. | 2023
- 29
-
Development of Large RDL Interposer Package using RDL-first FOWLP ProcessHo, Soon Wee / Boon Soh, Siew / Lau, Boon Long / Hsiang-Yao, Hsiao / Rao, Vempati Srinivasa et al. | 2023
- 35
-
Development of underground structure cover with slit for radio wave transmissionYi, Yuantong / Tateishi, Eiichi / Kumagae, Takaya / Kai, Nobuhiro / Yamaguchi, Tatsuya / Kanaya, Haruichi et al. | 2023
- 39
-
Influence of Parasitic Power Loop Inductance on Switch Performance in GaN HEMTWu, Ping / Ma, Chuangwei / Qiu, Yiou / Qian, Xin / Wang, Liancheng / Zhu, Wenhui et al. | 2023
- 47
-
Method of Triple Thin Film RDL Layers on 2.2D SubstrateChen, Er-Hao / Hu, Dyi-Chung / Lee, Jeffrey ChangBing et al. | 2023
- 53
-
2-D Modelling of Fan-Out Panel Level Package and its Warpage Suppression SolutionSingh, Shivendra Pratap / Pancham, Padmanabh Pundrikaksha / Lo, Cheng-Yao et al. | 2023
- 57
-
Study on board-level reliability of passive components on ultra-high density PCB assembliesLv, Xiang / Shi, Hongbin / Li, Mengyuan / Jia, Qipu / Yang, Chao et al. | 2023
- 63
-
Antenna-in-Package Electrical Research for Beyond 5G applicationLai, Chia-Chu / Lin, Sam / Shih, Teny / Kang, Andrew / Wang, Yu-Po et al. | 2023
- 67
-
Characterization of FOWLP Antenna in PackagesMei, Sun / Guan, Lim Teck / Lin, Zhou et al. | 2023
- 71
-
E-band LTCC Phased Array AiP for Automotive ApplicationsAbdellatif, Ahmed Shehata / Zhai, Wenyao / Pothula, Harri Krishna / Wessel, David / Wang, Guangjian / Huang, Guolong / Shuai, Songlin et al. | 2023
- 75
-
Design of 1THz band 4array on-chip one-sided directional antennaKim, Ryeong / Takigawa, Ryo / Kanaya, Haruichi et al. | 2023
- 80
-
Design of 900 MHz band metal-available compact dipole antennaIto, Kenji / Kanaya, Haruichi et al. | 2023
- 85
-
Design of 900 MHz-band one-sided directional slot antenna by Bayesian optimizationShinchi, Mao / Goodwill, Kumar / Kanaya, Haruichi et al. | 2023
- 90
-
Design of dual-band one-sided directional antenna for 5G communicationShu, Yixuan / Kumar, Goodwill / Kanaya, Haruichi et al. | 2023
- 94
-
Development of Chip to Wafer Assembly with CuSnAg Microbump on Solder on Pad Interposer using Thermocompression and Solder ReflowKeng Yuen, Jason Au / Chong, Ser Choong / Daniel, Ismael Cereno et al. | 2023
- 100
-
Development of Wireless Energy Harvesting Circuit and Driving Experiment of Bluetooth SystemHosaka, Ryoma / Torigoe, Shota / Tagawa, Nobuya / Kanaya, Haruichi et al. | 2023
- 104
-
EM and system-level modelling of phased antenna arrays for D-band antenna-in-package and antenna-on-package implementation at 150 GHzSvimonishvili, Tengiz / Rotaru, Mihai D. et al. | 2023
- 112
-
77GHz Wide-scan and High-gain three-port Grid Array Antenna (GAA) based on PCBWei, Zhao / Mei, Sun / Yongxin, Guo et al. | 2023
- 116
-
Process and Integration Challenges for Via Last TSV (from top) on Functional LNA SOI wafers for 3D Heterogeneous chiplet integrationWang, Xiangyu / Rotaru, Mihai Dragos / Haitao, Yu / Jonq, Mingchinq / Chong, Chai Tai / Chui, King-Jien et al. | 2023
- 120
-
Simulation modeling of 600mm X600mm fan-out panel level for warpage behavior based on chip firstCheng, Kuo-Ching / Chen, Bo-Heng / Chen, Cong-Wei / Chan, Ya-Fang / Wang, Burnet / Yang, Jeffrey / Fang, Jen-Kuang et al. | 2023
- 125
-
Through Mold Vertical Interconnect Formation in FOWLP using conductive pasteSiew Boon, Serine Soh / David Ho, Soon Wee / Jaafar, Norhanani et al. | 2023
- 129
-
Comparative Analysis of Laser Parameters effect on Laser Splash Performance During Wafer Stealth DicingGeorge, Nathaniel Simon / Harish Shah, Ankur / Tanola, Rommel / Lu, Jane / Sim, Chris / Singh, Harry et al. | 2023
- 135
-
Cu and barrier CMP process development with fine 1μm Cu bond pad and 2.5 μm pitch for Wafer-to-wafer Hybrid BondingRoy, Sangita Chaki / Guan, Chen Gim / Venkataraman, Nandini / Lee, Wen / Singh, Navab et al. | 2023
- 139
-
Effect of Scribe Line Metal Layout on Wafer Saw Top Edge Chipping for Silicon Power DevicesBarbosa, Ronald / Pinili, Ton / Estremera, Leo / Brizar, Guy / Rolofson, Kirk / Barth, Will / McGlone, John / Hose, Sallie / Gambino, Jeff et al. | 2023
- 144
-
Integration of plasma dicing in the collective die to wafer hybrid bonding processSuhard, Samuel / Kennes, Koen / Bex, Pieter / Georgieva, Violeta / Schleicher, Filip / Walsby, Edward / Barnett, Richard / Jourdain, Anne / Beyer, Gerald / Beyne, Eric et al. | 2023
- 150
-
Investigating Mechanical Force During Pick and Place Towards Crack Package Performance in Small Outline PackagesMohd Zali, Mohd Rozaini / Siew Ting, Kow / Ong, Yit Seng / Hadi Mohd Amin, Mohd et al. | 2023
- 154
-
Mold Bleed Effacing On Leaded Package with Intentional Coining Concept in Transfer Molding Process ApplicationsHazizan, Asyraq / Koo, Chee Aung / Jamal, Fakhrul Izzat et al. | 2023
- 160
-
Opto-Mechanical System design for characterizing multiple channel free space optical interconnect componentsKumar, Penumaka Shushil / Chandramohan Raghuveer, Mattur / Ramana, Pamidigantam / Yeluripati, Rohin Kumar et al. | 2023
- 165
-
Overcoming Assembly Process Challenges in Glass Interposer: Development of Jigs and FixturesUbed, Mohammed / Ramana, Pamidigantam / Veerandi, Anusha / Kumar Yeluripati, Rohin / G, VenuGopal et al. | 2023
- 169
-
Package Design Characterization Influencing Substrate Metal Crack in BGA PackageJasmine, Lim / Tu-Anh, Tran / Sandeep, S. / Koh, WenShi et al. | 2023
- 177
-
Paddle tilt towards Paddle Flash performance on small outline packageTing, Kow Siew / Jia Yunn, Ting / Yahaya, Khairul Ikhsan / Choo Tian, Ooi et al. | 2023
- 181
-
Delamination Improvement for High Voltage Power DevicesChang, Ting Wei / Ting, Jia Yunn / Wong, Chan Leong / Patel, Hamza et al. | 2023
- 185
-
uPoP- Innovative Solution for Mobile Memory PackageLi, Brian / Sun, Isaac / Shi, Stephen / Zhu, James / Pan, Tao / Quan, Changhao / Charles, Charles et al. | 2023
- 189
-
The Study of Process Enhancements to Improve Flash Removal Performance for Power PackagesLee, Wai Wai / Alang Abd Hamid, Hazrul / Ting, Jia Yunn et al. | 2023
- 192
-
Warpage Behaviour of Different Fan-out Chip-First WafersSanchez, Debbie Claire / Sutariya, Ashish / Panchal, Bhaumi / Wagner, Ronny / Song, Wenxuan et al. | 2023
- 199
-
Exploring Bond Strength for Advanced Chiplet with Hybrid BondingFuse, Junya / Iwata, Tomoya / Yoshihara, Yuki / Sano, Marie / Inoue, Fumihiro et al. | 2023
- 204
-
High-speed Optical Detection of Chipping Defects in a Die BonderAckerl, Norbert / Wiedmer, Andreas / Zeng, Guodong / Forooghifar, Famaz et al. | 2023
- 211
-
Plasma Modeling Framework on Dielectric Surfaces in Hybrid Bonding TechnologyDag, Sefa / Jiang, Liu / Hung, Raymond / Lianto, Prayudi / An, Jinho / See, Gilbert / Bazizi, El Mehdi et al. | 2023
- 216
-
Polymer Dielectric Materials Evaluation for Hybrid Bonding ApplicationsSekhar, Vasarla Nagendra / Fujiwara, Takenori / Araki, Hitoshi / Shoji, Yu / Jukei, Masaya / Nomura, Kota / Kumar, Mishra Dileep / Ser Choong, Chong / Rao, Vempati Srinivasa et al. | 2023
- 221
-
Effect of Reflow on Solder Joint in Low Temperature SnBi Solder PasteChiong, Kenny / Sutiono, Sylvia / Kumar, B. Senthil / Miew Wan, Lo / Murali, Sarangapani / HanWen, Zhang / Sig, Kang Sung et al. | 2023
- 227
-
Investigation of SnAg Superconductivity as Solder Material for Cryogenic PackagingNg, Yong Chyn / Li, Hongyu / Jaafar, Norhanani Binte / Cheow Siong Lee, Rainer / Huang, Ding / Lau, Chit Siong / Eng Johnson Goh, Kuan / Chui, King-Jien et al. | 2023
- 231
-
Low-temperature solder for low-carbon emitting processWang, Yi-Wun / Liang, Hua-Tui / Tseng, Tzu-Ting / Wu, Guo-Wei et al. | 2023
- 235
-
Double-side Liquid Cooling Development for 6-in-1 SiC Power ModuleHan, Yong / Tang, Gongyue et al. | 2023
- 240
-
Excellent Reliability Organic Thermal Interface Materials for SiC Power ModuleShimada, Akira / Sakabe, Yohei / Fujiwara, Takenori et al. | 2023
- 244
-
Transient Thermal Characterization and Analysis for Next Generation SiC Power ModuleTang, Gongyue / Ye, Yong Liang / Ching Wai, Leong / Han, Yong et al. | 2023
- 249
-
Flexible Integrated Circuits Developed by Transferring FDSOI CMOS FETs on Plastic Substrate for CMOS Image SensorsGoto, Masahide / Imura, Shigeyuki / Sato, Hiroto et al. | 2023
- 253
-
Process Development and Integration on Si Substrate for Ion trap-based Quantum ProcessorsLi, H. Y. / Liu Huihong, Clarence / Jaafar, Norhanani / Ahmadi, Morteza / Kumar, Mishra Dileep / Simonl, Goh Chun Kiat / YanYan, Zhou / Mukherjee, Manas / Jien, Chui King et al. | 2023
- 257
-
Stretchability of Electronic Components Assembled on Fabric for Wearable Electronics ApplicationsSalam, Budiman / Tan, Rachel Lee Siew et al. | 2023
- 261
-
Vertical Hexagonal Arrangement Structure - VHASSahoo, Akanksha et al. | 2023
- 266
-
Analysis of the influences of PCB process tolerances and assembly tolerances on 60 GHz radar sensor for Radar toolkitTschoban, Christian / Potter, Harald / Becker, Lukas / Perlwitz, Paul / Brockmann, Carsten / Gros, Mike / Ndip, Ivan / Schneider-Ramelow, Martin et al. | 2023
- 273
-
Design of Four-Way Multiplexer with Integrated Lumped Elements for Qubit CharacterizationVignesh, Shanmugam Bhaskar / Rotaru, Mihai Dragos et al. | 2023
- 278
-
Optimizing Package Power Integrity: A Comparative Study of Multiple Techniques and ApproachesIbeni, Ahmad Afiq / Tan, Yee Wei / Shahneer, Anis Shazlin / Lee, Wai Ling et al. | 2023
- 286
-
Parasitic Surface Conduction Effect of TSV on Interconnection Performance in RF SOI for 2.5D IntegrationLin, Zhou / Guan, Lim Teck / Jiaqi, Wu / Feng, Xu / Chinq, Jong Ming / Chyn, Ng Yong et al. | 2023
- 291
-
Redistribution Layer Routing Optimization Using the Variation of Trace Thickness and Length to Equalize Trace ParasiticsShaw, Mark / Papic, Vladimir et al. | 2023
- 296
-
Signal and Power Integrity Performance of CoWoS-R in Chiplet Integration ApplicationsWang, Chuei-Tang / Shang, Shu-An / Hsiao, Yu-Ming / Yan, Kathy / Jeng, Shin-Puu / Lee, Kam Heng / He, Jun et al. | 2023
- 302
-
Silicon measurement, Debug & Root Cause Analysis for Crystal Oscillator Jitter degradationBhooshan, Rishi / Tiwari, Swapnil et al. | 2023
- 303
-
Time Interval Error (TIE)-based SI Design and Characterization of DDR5 Data Strobe SignalingPark, Shinyoung / Huddar, Vinod Arjun et al. | 2023
- 307
-
A Board Level Vibration Test Method for Electronic Industry ApplicationXie, Dongji / Lee, Jeffrey / Khaldarov, Valeriy / Zhang, Andy / I, Xue S H / X P, Romuald RoucouN / Doranga, Sushil / Kelly, Brian et al. | 2023
- 316
-
Failure of Ball Grid Array during Electromigration and the Simulation of Dynamic Void FormationYao, Yifan / An, Yuxuan / Tu, King-Ning / Liu, Yingxia et al. | 2023
- 320
-
Detection of bonding voids in multi-tier stacks with scanning acoustic microscopeChen, Cong / Slabbekoorn, John / Bogdanowicz, Janusz / Moussa, Alain / Zhang, Boyao / Schleicher, Filip / Hoffrogge, Peter / Wiesler, Ingo / Khaldi, Wassim / Phommahaxay, Alain et al. | 2023
- 326
-
An Electromigration Study of Cu Pillar Interconnects in Flip-chip QFN Packaging under Extreme Conditions for High-power ApplicationsTsai, Min-Yan / Kao, Chin-Li / Wang, Shan-Bo / Lin, Yung-Sheng / Chiu, Meng-Chun / Liang, Chien-Lung et al. | 2023
- 333
-
Failure Mode Evaluation of QFP Package Interconnect Structure under Random VibrationsShimamura, Nozomi / Yu, Qiang et al. | 2023
- 340
-
Flip Chip CSP Package Integrity and Reliability EvaluationLiu, J.M / Yao, J.Z / Wei, Peter / Jiang, Ruby / Pang, Xingshou / Xu, Sean / Li, Leo et al. | 2023
- 346
-
Lock-in Thermography judgment for short/leakage/high resistance defects in advanced Fan-Out packagesLin, Yu-Ting / Liu, Cheng-Hsin / Lin, Yi-Sheng / Hsiao, Yu-Hsiang et al. | 2023
- 350
-
A New Evaluation Method of Bonding Strength Using Atomic Force MicroscopyShin, Donggap / Moon, Bumki / Lee, Yongin / Hahn, Seung Ho / Kim, Wooyoung / Woo, Siwoong / Lee, Byungjoon / Rhee, Minwoo et al. | 2023
- 354
-
Evaluation of Low Temperature Inorganic Dielectric Materials for Hybrid Bonding ApplicationsKumar, Mishra Dileep / Nagendra Sekhar, Vasarla / Choong, Chong Ser / Chandra Rao, B.S.S. / Chui, King-Jien / Rao, Vempati Srinivasa et al. | 2023
- 359
-
Finite element simulation of Cu-SiO2 direct hybrid bonding: impact of via on bonding integrationZhao, Guoqiang / Wang, Wenzhi / Zhang, Huimin / Zhang, Nan / Zhou, Xiaofeng / Zhao, Yi et al. | 2023
- 365
-
Grain boundary analysis of Cu-Cu hybrid bonding using ACOM-TEMFujimoto, Ryosuke / Yasuda, Mitsunobu / Tarumi, Nobuaki / Shinozaki, Yuko / Kawasaki, Naohiko / Otsuka, Yuji et al. | 2023
- 369
-
Low melting point SnBiIn-based micro-nanoparticles for high-density microbump bonding technologyMao, Xingchao / Chen, Yulong / Tu, King-Ning / Liu, Yingxia et al. | 2023
- 373
-
Improvement in Wafer-to-Wafer Hybrid Bonding Using Optimized Chemical Mechanical Planarization Process for Cu DishingKhurana, Gaurav / Panchenko, Iuliana et al. | 2023
- 381
-
Laser assisted bonding (LAB) mechanism study on the effect of flux dipping, stage block vacuum force and chip attach misalignmentKim, GaHyeon / Gim, MinHo / Na, SeokHo / Kim, WooJun / Bae, JoHyun / Ryu, DongSu / Park, DongJoo / Park, KyungRok et al. | 2023
- 391
-
Polymer Based Dual Damascene Process for Fine Pitch RDL Advanced PackagingHsiang-Yao, Hsiao / Ley, Ryan / Suo, Peng / Bum, Andy Yong Chang / Quon, Roger et al. | 2023
- 396
-
RC delay mitigation for sub 700 nm hybrid bonding pitchLhostis, Sandrine / Ayoub, Bassel / Fremont, Helene / Moreau, Stephane / Mermoz, Sebastien / Deloffre, Emilie / Souchier, Emeline / Cacho, Maria Gabriela Gusmao / Aybeke, Ece / Lamontagne, Patrick et al. | 2023
- 404
-
Room Temperature Plasma-Enhanced Niobium-Niobium Wafer Bonding for 3D Integration of Superconducting Interconnects for Quantum ProcessingKiat Goh, Simon Chun / Hemanth Kumar, Cheemalamarri / Hu, Liangxing / Shervonne, Woon / Jaafar, Norhanani / Khoon Sherry, Yap Lee / Huang, Ding / Lau, Chit Siong / Kumar Karuppannan, Senthil / Li, Hongyu et al. | 2023
- 409
-
Alignment Vision System for Hybrid BondingNagatomo, Daisuke / Sugiura, Takamasa / Kajinami, Masato / Ueyama, Shinji / Tokumiya, Takahiro / Oh, Seungyeol / Ahn, Sungmin / Choi, Euisun / Woo, Siwoong / Lee, Hyunjin et al. | 2023
- 415
-
Edge Detection Algorithm for Blurred Alignment Marks in Hybrid BondingSugiura, Takamasa / Nagatomo, Daisuke / Kajinami, Masato / Ueyama, Shinji / Tokumiya, Takahiro / Oh, Seungyeol / Ahn, Sungmin / Choi, Euisun / Woo, Siwoong / Lee, Hyunjin et al. | 2023
- 421
-
Optimization of the CMP process for direct wafer-to-wafer oxide bondingJi, Hong-Miao / Cheemalamarri, Hemanth Kumar / Chi, Ting-Ta / Serene, Hui-ting Lim / Teo Dickson, Wei-Jie / Alfred, Siang-Kiat Neo / Li, Hong-Yu / Jon, Gim-Guan Chen / Venkataraman, Nandini / Lee, Wen et al. | 2023
- 425
-
Reliability Assessment of 2.5D Module using Chip to Wafer Hybrid BondingChong, Ser Choong / Au Keng Yuen, Jason / Sekhar, Vasarla Nagendra / Cereno Daniel, Ismael / Kumar, Mishra Dileep / Srinivasa Rao, Vempati et al. | 2023
- 430
-
Backside Metallization for Logic ApplicationsRettenmeier, Roland / Carazzetti, Patrick / Strolz, Ewald / Zoberbier, Ralph / Low, Stanley / Singaram, Suresh Kumar et al. | 2023
- 435
-
Challenges of Scaling Down High-Power Performance Flip Chip Ball Grid Array (FCBGA) PackageChan, W H / Lakhera, Nishant / Uehling, Trent / Bharatham, Logendran / Shantaram, Sandeep / Sukemi, Azham Mohd et al. | 2023
- 442
-
Thermo-Mechanical performance of large body and small ball pitch Flip chip packages using higher layer count substrates with ENEPIG solder pad finishRamasamy, Anandan / Low, Shin / Ng, Ace / Maloney, Gerry / Shao, Alan et al. | 2023
- 447
-
A Conceptional Study towards Developing a Novel Copper Top-Side Interconnection Process in Power Electronics using Additive ManufacturingOckel, Manuela / Sippel, Marcel / Hecht, Christoph / Janisch, Lucas / Franke, Jorg et al. | 2023
- 455
-
Development of Flip-Chip Packaging for Monolithic Microwave Integrated CircuitWai, Leong Ching / Guan Lim, Teck / Chong, Ser Choong / Zhou, Lin et al. | 2023
- 459
-
The Effect of Filler Mass Fraction on The Physical Properties of Conductive Silver AdhesivesYu, Ziniu / Lv, Weishan / Zhu, Fulong et al. | 2023
- 464
-
Eliminating Preferred Orientation and Refining Grain Size with Ni Doping in Cu/Sn-3.0Ag-0.5Cu/Cu TLP Bonding under Isothermal Aging TreatmentChen, Zi-Xu / Chao, Chen-Sung / Duh, Jenq-Gong et al. | 2023
- 469
-
Advancing Fine Pitch (< 5μm) Interconnects through Self-Aligned Die-to-Wafer Hybrid Bonding for Chiplet IntegrationDubey, Vikas / Wunsch, Dirk / Gottfried, Knut / Kinner, Robert / Suroshe, Rajat / Kuchler, Matthias / Stephan, Ronny / Schermer, Sebastian / Helke, Christian / Hasse, Micha et al. | 2023
- 474
-
Influence of Complexing Agents on Attaining Homogeneous Composition in SnAg ElectroplatingJo, Yugeun / Kim, Sung-Min / Lee, Ki-Taik / Jin, SangHoon / Lee, Woon Young / Hyung Lee, Min et al. | 2023
- 478
-
Laser Direct Structuring (LDS) for enhanced QFN packageCatalano, G. / Cecchetto, L. / Sanna, A. / Verardi, E. / Villa, R. / Vitello, D. et al. | 2023
- 484
-
Low temperature bonding of Cu/Sn58Bi/Cu with 5μm microbump for high temperature applicationsWu, Zih-You / Chen, Po-Yu / Duh, Jenq-Gong et al. | 2023
- 488
-
Microstructural and mechanical analysis of Cu/Sn/Cu microbump by doping Ni and Zn into Cu substrateHuang, Pin-Wei / Lin, Ta-Wei / Duh, Jenq-Gong et al. | 2023
- 493
-
Study of Cu Pad Expansion with Surrounding Dielectrics for Hybrid BondingCheemalamarri, Hemanth Kumar / Lir, Ji / Lee, Wen / Rao, Vempati Srinivasa / Singh, Navab et al. | 2023
- 498
-
Thermally Annealed Tantalum-filled Vertical Superconducting Interconnects for Scalable Quantum Computing SystemsMishra, Harsh / Bonam, Satish / Pandey, Ullas / Singh, Shiv Govind et al. | 2023
- 504
-
Intra Die Super Power Pads Bonding for IR Voltage Drop Reduction in Automotive SoCsSharma, Ajay Kr. / Bhooshan, Rishi / Kumari, Anchal / Jain, Shreyans et al. | 2023
- 507
-
Pressure-less Copper Sintering Paste for Die Attach ApplicationYao, Min / Han, Xuelian / Li, Hongyun / Ma, Li / Chen, Fen / Payne, Dean / Liu, Yan et al. | 2023
- 512
-
The Development of a Non-Conductive Die Attach Film for High-Reliability ApplicationsBai, Jie / Do, Phuong / Kwak, Daniel / Chieng, Yuyuan / Hikita, Aya / Wu, Jie / Yun, Howard / Zhuo, Qizhuo / Peddi, Rajasekhar / Trichur, Ramachandran K. et al. | 2023
- 518
-
A Novel Approach to Enhance the High-Reliability of Solder Joints through Pneumatic Reflow Technology for Kirkendall Void ReductionSu, Huan-Ping / Hsu, Ming Hua / Chen, Chih-Hsiung / Horng, Auger et al. | 2023
- 524
-
Analysis on bonding wires of Au-Coated Ag alloy for IBGA automotive applicationPalagud, Jose / Lim, TS / Jasmee, S / Masdzarif, NDI / Wang, SW / Hoo, KI / Omar, G et al. | 2023
- 530
-
Characterizing Sub-micron 3D Defects from Intact Advanced Packages to Wafer Level Packaging using a Suite of Novel 3D X-ray Tools at Down to 0.3 μm Spatial ResolutionLau, S.H. / Gelb, Jeff / Gul, Sheraz / Qin, Tianzu / Lewis, Sylvia / Yun, Wenbing et al. | 2023
- 536
-
Characterization and Analysis of High Efficiency Aqueous-Based Flux Cleaning Process for High Voltage Power Device PackagesRamalingam, Vegneswary / Morales, Leonardo Samson et al. | 2023
- 541
-
Chemical Deburring as Process Solution for Eliminating Sn Metal Burrs of Wettable Flank QFN DevicesSerapio, Rohn Kenneth L. / Antilano, Ernesto T. / Gablan, Alyssa Grace S. / Diwata, Jerry T. / Soreda, Alvin S. et al. | 2023
- 548
-
Development of micron-sized Ag-Si composite paste die attach material for highly stable microstructure during high temperate agingChen, Chuantong / Liu, Yang / Li, Wangyun / Ueshima, Minoru / Nakayama, Koji / Suganuma, Katsuaki et al. | 2023
- 552
-
Development of UV Curable Wafer Back Side Protection-Film - IR Shielding Type -Yamashita, Shigeyuki / Kobashi, Rikiya / Sato, Soki et al. | 2023
- 558
-
Dry Film Resist with Four Key Technologies to Achieve L/S=1/1μm PatternTogasaki, Kei / Toda, Natsuki / Yoshihara, Kensuke / Kaguchi, Yosuke / Funai, Kanako / Onozeki, Hitoshi / Iwashita, Kenichi et al. | 2023
- 564
-
Effect of Tg and modulus on the underfill reliability in packageZhao, Guolin / Lin, Haoliang / Peng, Xiaohui / Zhu, Pengli / Zhu, Wenhui et al. | 2023
- 569
-
Feasibility and Optimisation of Cu-Sintering under Nitrogen AtmosphereMeyer, Jorg / Wendelin Gierth, Karl Felix / Meier, Karsten / Bock, Karlheinz et al. | 2023
- 574
-
GuardCoat™ Applications to Eliminate Dicing Edge Chip-outGray, Allison / Iglesias, Franco / Moore, John et al. | 2023
- 580
-
High Precision Wafer Thinning Using Ultra-low-TTV Glass Carrier and Novel Temporary BondingZhang, Jay et al. | 2023
- 584
-
High Thermal EMC Solution Applied in Thin FCCSPSu, Pin-Jing / Wang, Jerry / Hung, Liang-Yih / Chen, Carl / Wang, Yu-Po et al. | 2023
- 588
-
Design and Manufacturing of an In-Package Relative Humidity Sensor with Multi-Width Interdigital Electrodes Towards Enhanced Sensitivity for Characterization of Packaging Encapsulation MaterialsSattari, Romina / van Zeijl, Henk / Zhang, Guoqi et al. | 2023
- 592
-
Investigation of Immersion Alignment Mark Signal and Alignment Success Rate for Flat Optics with Aperture on ChipTew, Chin Khang / Tobing, Landobasa Y. M. / Yoo, Jae Ok / Singh, Navab et al. | 2023
- 596
-
Investigation of Two-Stage Ag-Sintering Processes for the Die Attach of Power DevicesSumkotter, Dominik / Wollschlager, Mario / Kohler, Marius / Lawniczak, Marcel / Weickmann, Johannes / Besendorfer, Kurt-Georg / Heuck, Nicolas et al. | 2023
- 603
-
Patterning on reflective (Al) surface using auto alignment in immersion lithography to achieve tight overlay for reconfigurable metasurface devicesSundaram, Arvind / Pitchappa, Prakash / Zhonghua, Gu / Yoo, Jae Ok / Singh, Navab et al. | 2023
- 608
-
Process Development, Microstructure and Electrical Resistance on Green Laser Induced Graphene from PolyimideLiu, Shibo / Qi, Xiaoying / Chew, Youxiang / Goh, Min Hao / Wei Cheng, Xin / Ng, Fern Lan / Wan, Kebao et al. | 2023
- 614
-
Realizing ultra-thin high reliability storage devices with large capacity by package and PCB collaborative designShi, Hongbin / Yang, Chao / Zhang, Jianrui et al. | 2023
- 620
-
The Path Traversal Method for the Orientation Information of Fillers in CompositesZhang, Xinfeng / Fan, Yiwen / Yang, Xuan / Xiang, Linyi / Xing, Guanyin / Hu, Run / Luo, Xiaobing et al. | 2023
- 625
-
Ultrathin New Dielectric Interlayer Layer - Enhancer for TEOS-TEOS Bond Strength at Low Thermal Budget for C2W and W2W Bonding ApplicationsCheemalamarri, Hemanth Kumar / Lee Hou Jang, Steven / Miao, Ji Hong / V, Nandini / S, Chandra Rao B S / King Jien, Chui / Rao, Vempati Srinivasa / Singh, Navab et al. | 2023
- 629
-
Various Dicing Methods for Silicon Carbide WafersJeon, YuJin / Na, SeokHo / Gim, MinSoo / Bae, JoHyun / Ryu, DongSu / Park, DongJoo / Park, KyungRok et al. | 2023
- 635
-
Wire loop characterization for wire sweep reductionLeone, Federico / Caglio, Carolina / Viviani, Fulvio / Villa, Riccardo et al. | 2023
- 642
-
Wirebond Stability Resolution on Automotive MEMS Device Through Tape Adhesion Thickness and Plasma CharacterizationBamba, Behra E. / Gomez, Frederick Ray I. / Rillera, Jane Wanzel E. et al. | 2023
- 647
-
An Extensive Study of the Effects of Packaging Structure and Material Properties on Reliability of Advanced Packages by Charactering the Stress Singularities at Interface CornersLyu, Guang-Chao / Chen, Bin / Zhang, Xin-Ping / Zhou, Min-Bo / Ke, Chang-Bo / Mai, Yiu-Wing et al. | 2023
- 655
-
Assessment of Delamination Risk During the Package Sawing Process by Simulationbin Yahaya, Khairul Ikhsan / Kong, Chen Wei / Leung, Max et al. | 2023
- 659
-
Capillary Underfill Flow Simulation and Experimental Study for Solder Mask Opening and Trace Distribution in Bump Layout DesignLai, Jin Yuan / Yueh Yang, Shin / Lin, Kohan / Choi, Bongwoo / Ong, Yeow Chon / Wan Ng, Hong et al. | 2023
- 664
-
Characterization of Differential TMV Vertical Interconnects to 50GHz with Double Side MeasurementJiaqi, Wu / Guan, Lim Teck / Tsung-Yang Liow, Jason / Nair Gourikutty, Sajay Bhuvanendran et al. | 2023
- 669
-
Copper/Molding Compound Interfacial DelaminationRovitto, Marco / Zalaffi, Samuele / Passagrilli, Carlo / Andena, Luca / Mariani, Stefano et al. | 2023
- 674
-
Design Optimization to Boost Solder Joint Reliability Performance for SSD BGA PackagePan, Ling / Che, Fa Xing / Yu, Wei / Ong, Yeow Chon / Wan Ng, Hong / Boo Tan, Kelvin Aik / Wei Lum, Wen / Sinha, Koustav / Chen, Ting Wen et al. | 2023
- 678
-
Effect of Underfill on Substrate Trace Crack under PTCYu, Wei / Pan, Ling / Aik Boo, Kelvin Tan / Xing Che, Fa / Ong, Yeow Chon / Wan Ng, Hong / Fan, Richard et al. | 2023
- 683
-
Experimental and Numerical Investigation of Die Shift in Large Panel Level PackagingGu, Bin / Luan, Jing-en et al. | 2023
- 687
-
Hard bond pad plastic deformation study for adhesion estimation by 3D FEM modelling of wire bonding processGuarino, L. / Caglio, C. / Villa, R. / Carasi, B. / Passagrilli, C. / Cecchetto, L. et al. | 2023
- 694
-
Identify critical packaging parameters impacting wafer warpage using FEA and statistical analysis techniquesLin, Ji / Chyn, Ng Yong et al. | 2023
- 701
-
Impact of Wafer Pre-thin Thickness on Stealth Dicing PerformanceLim, Dao Kun / Vempaty, Venkata Rama Satya Pradeep / Sim, Wen How / Singh, Harjashan Veer et al. | 2023
- 705
-
Investigation on Underfill Properties Effect on Board Level Solder Joint Reliability for SiP PackageChe, Fa Xing / Ong, Yeow Chon / Pan, Ling / Chen, Wren / Yu, Wei / Ng, Hong Wan et al. | 2023
- 710
-
Life assessment of Micro-via used in thin Printed Circuit Board under Thermal Cycling loads & influence of selected design parametersKumar, Vinay / Bhadri, Shrikant et al. | 2023
- 716
-
Mechanical Modelling and Analysis of CMOS Image Sensor PackageLim, TS / Sukiman, Muhamad Shafiq / Jasmee, S / Masdzarif, NDI et al. | 2023
- 722
-
Mission Profile related Design for Reliability for Power Electronics based on Finite Element SimulationAlbrecht, Jan / Horn, Tobias / Habenicht, Sonke / Rzepka, Sven et al. | 2023
- 727
-
Nanoindentation characterization of sintered porous Cu nanoparticles used in power electronics packaging – A molecular dynamic simulation studyHu, Dong / Li, Zichuan / Fan, Jiajie / Zhang, Guoqi et al. | 2023
- 734
-
Numerical and Experimental Investigation of Package Warpage of Large Mold-First FOWLPZhang, Xiaowu / Lim, Sharon Pei Siang / Lau, Boon Long / Han, Yong / Jong, Ming Chinq / Wang, Xiaobai / Liu, Songlin et al. | 2023
- 738
-
Package Design Optimization of Thin Mold Double Side System in Package (DS-SIP)Yen, Freedman / Lin, Vito / Shih, Teny / Kang, Andrew / Wang, Yu-Po et al. | 2023
- 742
-
Predicting Package Breaking Load of Thin BGA Packages Through Mechanical Modeling and SimulationTalledo, Jefferson et al. | 2023
- 747
-
Dimensionless contact stiffness model to unveil the strain rate effect of nickel-based single crystal superalloy by nanoindentationShen, Ziyi / Su, Yutai / Liang, Zhiyuan / Long, Xu et al. | 2023
- 751
-
Study on Using Noisy Synthetic Data for Neural Networks to Assess Thermo-Mechanical Reliability Parameters of Solder InterconnectsAlbrecht, Oliver / Hohne, Robert D. J. / Barkur, Dharshan / Meier, Karsten / Bock, Karlheinz et al. | 2023
- 757
-
Temperature-dependent Creep Characterization of Lead-free Solder Alloys Using Nanoindentation for Finite Element ModelingDudash, Viktor / Machani, Kashi Vishwanath / Meier, Karsten / Geisler, Holm / Mueller, Maik / Kuechenmeister, Frank / Wieland, Marcel / Bock, Karlheinz et al. | 2023
- 764
-
The Phenomenon of Tunnel Structure Mold Flowability Experiment Result and Simulation StudyLo, Shih Kun / Su, Yi Hsun / Li, Zong Yuan / Chien, Tzu Chieh / Liu, Hui Chung / Lai, Lu Ming / Chen, Kuang Hsiung et al. | 2023
- 770
-
Thin IC Chip Pickup Process Risk Analysis via Experiments in conjunction with Numerical ApproachTsai, Yi Hsuan / Shantaram, Sandeep / Lin, Yen Zhi / Chang, Yao Jung et al. | 2023
- 780
-
TSV wafer warpage simulation and process induced strain prediction by machine learning-based anisotropic equivalent modeling methodWu, Xiaodong / Li, Chunlei / Ma, Shenling et al. | 2023
- 787
-
Virtual Design of Experiment Methodology for Package Design RobustnessDuca, Roseanne / Cuzzocrea, Julien et al. | 2023
- 792
-
BoW Die to Die interface implementation: An open standardized interface for future ElectronicsAhmed, Maudood / Heinig, Andy / Kadam, Sneha / Navilipuri, Lavakumar et al. | 2023
- 797
-
Deca & ASE M-Series Bridge Die Compensation & Adaptive Patterning in a Multi-Die Module in 600 mm FormatSandstrom, Clifford / Talain, Erick / Olson, Timothy / Jose, Benedict San / Fang, Jen-Kuang / Yang, Ping-Feng / Huang, Sheng-Feng / Shen, Ping-Ching et al. | 2023
- 804
-
Packaging strategies for 3D integration of Photonic and Electronic chips on a Glass substrateBernson, Robert / Wakeel, Saif / Gupta, Parnika / Ranno, Luigi / Weninger, Drew / Agarwal, Anuradha / Serna, Samuel / Hu, Juejun / Gradkowski, Kamil / Kimerling, Lionel et al. | 2023
- 809
-
A Novel Structure of Multi-Mode Interferometer with Low Excess LossZhenyu, Li / Shuyi, Li / Wei, Luo / Feng, Xu / Lee, Wen et al. | 2023
- 813
-
Adhesive Fracture Analysis of Die Attach Film-Laminated Tape by 90° Peel Tests and Finite Element AnalysisFacheng, Zhao / Kai, Yang / Seng, Cheng Yu et al. | 2023
- 818
-
Artificial intelligence aided design for heterogeneous integration system in displayHuang, Sixin / Zhou, Ziqing / Gao, Jiaying / Long, Haohui / Li, Jianhui et al. | 2023
- 824
-
Assembly of Thin Micro-Chiplets using Laser-Induced Forward TransferKannojia, Harindra Kumar / Van Steenberge, Geert et al. | 2023
- 829
-
Effect of cohesive behaviour and residual stress on the indentation response of elastoplastic film/substrate structureLi, Jiao / Long, Xu et al. | 2023
- 834
-
Qualification of High Coplanarity Package for General Purpose ProcessorRefai-Ahmed, G. / Ramalingam, S. / Karunakaran, N. / Baharom, M. / Yin, P. / Do, H. / Lee, C. / Kelappen, S. / Ramachandran, P. / Murthy, B. et al. | 2023
- 842
-
Reliability Assessment of Gripper Socket Under Post-Silicon Validation ConditionsAl-Momani, Emad S. / Harb, Shadi Ms et al. | 2023
- 848
-
Research on technology and isothermal aging of double sided module convex interconnectQiu, Yiou / Chen, Huapeng / Wu, Ping / Qian, Xin / Wang, Liancheng / Zhu, Wenhui et al. | 2023
- 856
-
Simulation study of the magnetic material patterning on the high frequency planer inductor in 5G device applicationMasuda, Seiya / Ohtsu, Akihiko / Miyata, Tetsushi / Suzuki, Hiroyuki / Takahashi, Hidenori et al. | 2023
- 864
-
Development of Thick Sc0.2Al0.8N Film for MEMS ApplicationSharma, Jaibir / Chen, Daniel Ssu-Han / Shun Teo, Yong / Liu, Peng et al. | 2023
- 869
-
Investigation of Void-free Chip-to-Chip Bonding Methods for CMOS-MEMS CompatibilityYeo, Yi Xuan / Leong Ching Wai, Eva / Chen, Daniel Ssu-Han / Choong Chong, Ser et al. | 2023
- 873
-
Patterned Fabry-Perot Filter Fabrication on Transparent wafer in 200 mm CMOS fabYoo, Tae Jin / Geelen, Bert / Tack, Klaas / Tezcan, Deniz Sabuncuoglu et al. | 2023
- 877
-
Systematic study of direct laser fabricated graphene resistor on commercial flexible copper clad laminate (FCCL) circuit boardHong, Priscilla / Goh, Zhen Ke / Qi, Xiaoying / Wan, Kebao et al. | 2023
- 882
-
A Novel Silicon Crystal Defect Detection Method Using Cross-sectional SamplesHua, Younan / Liao, Lois / Zhang, Linhua / Li, Xiaomin et al. | 2023
- 892
-
Application of Auger Electron Spectroscopy in Copper oxide Failure in Electronics PackagingZhao, Yanfei / Zhu, Lei / Hua, Younan / Li, Xiaomin et al. | 2023
- 896
-
Crazing of Photoimageable Dielectric (PID) in Fan-Out Panel Level Packaging (FOPLP)Yu, Yeonseop / Lee, Sunguk / Jeon, Jongmyeong / Kim, Miyang et al. | 2023
- 901
-
Chip-Package Interaction: A Case Study Applied To A Mature Technology NodeChua, Eng Chye / Kuechenmeister, Frank / Geisler, Holm / Machani, Kashi Vishwanath / Kiong Yap, Hin et al. | 2023
- 906
-
Effects of temperature and electrical bias on Cu-Al IMCs growthLiao, Lois / Zhang, Chencang / Liu, Ting / Zhang, Yuesheng / Kawano, Shota / Weimin, Wang / Gang, Li / Xie, Zimin / Xia, Qiangqiang / Zhang, Xi et al. | 2023
- 910
-
Acceptance Criteria for Good Solder Joint Reliability On Wafer Level Chip Scale Package (WLCSP) at Component LevelPeriasamy, Subashini / Supramaniam, Saraswathy / Bin Abdullah, Muhammad Nurhisham / Balasupramaniam, Selvakumar et al. | 2023
- 918
-
Capacitive-based Wire Bonding Defects Detection Method for Integrated Circuit Package in Strip Form with Hybrid Threshold Setting AlgorithmQiu, Tie / Khoo, Leslie / Tan, Joseph / Loo, Amy et al. | 2023
- 922
-
Improving Board Level Reliability of Ultra Thin PCBA by Systematic Novel SolutionsJiang, Yiming / Shi, Hongbin / Li, Mengyuan / Lv, Xiang et al. | 2023
- 926
-
Integration of Artificial Neural Network and Finite Element Simulation for Package Warpage PredictionPanigrahy, Sunil Kumar / Xing Che, Fa / Ong, Yeow Chon / Nagavenkata Nune, Prasad / Ng, Hong Wan et al. | 2023
- 932
-
Anomaly Detection for Dispensing of Solder Paste on 3D Circuit Carriers Using Machine LearningThielen, Nils / Wagner, Marco / Meier, Sven / Voigt, Christian / Franke, Jorg et al. | 2023
- 938
-
Efficient and Adaptive Semantic Segmentation of HBMs using Incremental LearningChang, Richard / Thakur, Namrata / Wang, Jie / Li, Yurni / Chong, Ser Choong / Singh Pahwa, Ramanpreet et al. | 2023
- 944
-
Post Bonding Defect Analysis using Deep LearningKomatireddi, Rahul Reddy / Dangayach, Sachin / Cherikkallil, Rohith / Lianto, Prayudi et al. | 2023
- 948
-
Power Estimation and Comparison of Heterogenous CPU-GPU ProcessorsKaur, Rupinder / Mohammadi, Farah et al. | 2023
- 952
-
Study on Enhancing Flip-Chip-Chip Scale Package (FCCSP) Reliability Testing using Deep Learning (DL)Assisted Scanning Acoustic MicroscopyNair, Arya Sukumaran / Djuric-Rissner, Tatjana / Hoffrogge, Peter / Koch, Matthias / Birki, Bugra / Ramos, Zyzi / Yen, Justor / Wang, Rachel / Czurratis, Peter / Ho, Hsien-Wei et al. | 2023
- 961
-
FCPBGA C4 Abnormal Leadfree Solder Bump PreventionMeng, Dominic Koey Poh / Ha, Khai Soon / Fadzil, Muhammad Fadzlan Md et al. | 2023
- 970
-
Indium-based Flip-chip Interconnect for Cryogenic PackagingJaafar, Norhanani Binte / Hongyu, Li / Choong, Chong Ser / Yong Chyn, Ng / Huang, Ding / Lau, Chit Siong / Goh, Kuan Eng Johnson / Chui, King-Jien et al. | 2023
- 970
-
Modifying of solder composition as MXT03 for high TC reliability on Cu-OSP by optimized elements in Sn-Ag-Cu-Ni-Bi systemSon, J.Y. / Lee, S.G. / Lee, Y.W. / Jung, S.B. et al. | 2023
- 979
-
The Trend to Low Temperature Solder (LTS) Assembly and the Development Strategy for Tin-Bismuth based High Reliability LTS solderNishimura, Takatoshi / Akaiwa, Tetsuya / Sweatman, Keith et al. | 2023
- 985
-
Insights into the Solder Non-wetting Failure due to Flux Inactivation and DegradationSia, Jonalyn J. / Arellano, Ian Harvey J. et al. | 2023
- 990
-
Recycled Tin Lead Free Solder Paste for Advanced Packaging: A Sustainable Solution for Electronics ManufacturingSeng, Audrey Long Wee / Hui Shyan, Pang / Ting, Lo Yee / Min, Jason Lim Chze / Qing, Tan Tze / Sig, Kang Sung et al. | 2023
- 995
-
Reliability Prediction and Improvement of Board-Level Thermal Cycling Test for Molded Flip-Chip Ball-Grid-Array PackageChen, Dao-Long / Chen, Tang-Yuan / Lai, Wei-Hong / Yin, Wei-Jie / Kuo, Chun-Liang / Ko, Chun-Yu / Cheng, Chi-Min et al. | 2023
- 999
-
A High Flow Rate Piezoelectric Micropump for Miniature Liquid Cooling SystemFan, Yiwen / Zhang, Xinfeng / Xing, Guanying / Xiang, Linyi / Hu, Run / Luo, Xiaobing et al. | 2023
- 1005
-
Design of liquid cooling cold plate for high performance electric traction module on two-wheeler EVBin, He / Saha, Jaydeep / Gongyue, Tang / Panda, Sanjib Kumar et al. | 2023
- 1012
-
Development of Crossflow Manifold for Two-Phase Liquid Cooling of 3D ICs via 3D PrintingFeng, Huicheng / Tang, Gongyue / Zhang, Xiaowu / Lau, Boon Long / Jong, Ming Chinq / Au, Keng Yuen Jason / Ong, Jun Wei Javier / Chui, King Jien / Li, Jun / Li, Hongying et al. | 2023
- 1017
-
Experimental Investigations on Thermal Superposition Effect by Embedded Manifold CoolingYe, Yuxin / Du, Xiangbin / Kong, Yanmei / Liu, Ruiwen / Yun, Shichang / Jia, Shiqi / Li, Wei / Lv, Xiaorui / Lin, Pengrong / Wang, Zhiqiang et al. | 2023
- 1022
-
Forward-Looking Roadmap View to Enable Heterogeneous Integration in the Next 10 YearsRefai-Ahmed, G. / Gektin, V. / Alissa, H. / Subbarayan, G. / Huttunen, J. / Chan, G B. et al. | 2023
- 1026
-
High Thermal Solution for 3D Integration PackageChen, Ching Chia / Kao, Nicholas / Wang, Yu Po / Lin, Shane / Li, Yung Ta et al. | 2023
- 1030
-
Impact of High Temperature Storage for Prolonged Duration on Cu Leadframe Material Properties for Automotive ApplicationsZhu, Xintong / Rajoo, Ranjan / Yip, Kim Hong / Ang, Poh Chuan / Nistala, Ramesh Rao / Mo, Zhi Qiang et al. | 2023
- 1037
-
Immediate thermal performance evaluation of the power module structures under real operating conditionsSudo, Tomoya / Hiraoka, Gakuto / Yu, Qiang / Liu, Wei / Muraoka, Mitsutoshi / Komatsu, Yuji et al. | 2023
- 1043
-
Performance Characteristics of MEMS Heat Sinks Using Zig-Zag Microchannel with Semi-Circular Sidewall RibsAlnaimat, Fadi / Al Nuaimi, Saeed / Mathew, Bobby et al. | 2023
- 1047
-
Integrated heat management in the reticle masking module on the LITEQ 500 projection stepperLoktev, M. Y. / de Boeij, J. / Misat, S. I. / van der Stam, M. A. J. et al. | 2023
- 1053
-
Evaluation of C2W hybrid bonding performance with SiO2/SiCN passivation layers at the interface using finite element simulationsTippabhotla, Sasi Kumar / Ji, Lin / Choong, Chong Ser et al. | 2023
- 1059
-
Defect evolution during through-silicon via copper electroplating and methods for robust void-free fillingVan Nhat Anh, Tran / Venkataraman, Nandini / Tao, Meng / Ya-Ching, Tseng / Xiangyu, Wang / King-Jien, Chui / Singh, Navab / Rao, Vempati Srinivasa et al. | 2023
- 1063
-
Wafer Level Fabrication of Embedded Silicon Microcooler on Heating DevicesLong, Lau Boon / Ong, Javier / Au, Jason / Chinq, Jong Ming / Xiaowu, Zhang / Huicheng, Feng et al. | 2023
- 1067
-
Demonstration and Challenges of Through Si Interposer (TSI) with 5-layer Frontside Cu Metal and 2-layer Backside Cu RDLTseng, Ya-Ching / Chui, King-Jien et al. | 2023
- 1071
-
Effect of PECVD Thickness on Warpage Behavior of 3DS TSV WaferWang, Bill / Fan, Morgan / Quan, Changhao / Charles / Wu, Lin / Zhang, Paul et al. | 2023
- 1075
-
Evolution of Nano-notches on the Surface of SiC with Different Crystal Forms during Cutting in the Water EnvironmentZhou, Yuqi / Lv, Weishan / Zhu, Fulong et al. | 2023
- 1080
-
RF Modelling of for Through SiC Vias and Fabrication of SiC based InterposerMackowiak, Piotr / Koszegi, Julia-Marie / Schiffer, Michael / Schneider-Ramelow, Martin et al. | 2023
- 1086
-
The Effect of Cu/SiO2 Rough Interface on TSV Electroplating Process for Electronic PackagingLv, Weishan / Lei, Xin / Liu, Jiaxin / Zhu, Fulong et al. | 2023
- 1090
-
Through Glass Vias Fabrication using Ultrasonic Micromachining and Electroless DepositionPawar, Karan / Pandey, Harsh / Dixit, Pradeep et al. | 2023
- 1094
-
eWLB Fan-out Package for E-band Multi-Inputs/Outputs Phased Array ICZhai, Wenyao / Abdellatif, Ahmed Shehata / Pothula, Hari Krishna / Wessel, David et al. | 2023
- 1098
-
Characteristics and Reliability of Al and Al-coated Cu Wires for High Power ApplicationsFlauta, Randolph / Juergen-Funke, Hans / Birkoben, Tom / Habenict, Sonke / Liguda, Christian / Tai, King Man / Fan, Haibo / Yao, Peilun / Chen, Haibin et al. | 2023
- 1103
-
Copper Alloy Wire Selection Methodology for High Reliability Automotive IC packagesFundan, Raquel L. / Renard, Loic / Loo, Shei Meng / Koon Orr, Geok et al. | 2023
- 1108
-
Insulated, Passivated & Adhesively-Promoted Bonding Wire using Al2O3 Nano CoatingPark, Soojae / Jeong, Hwajin / Choi, Kyujung / Min, Eulgi et al. | 2023
- 1115
-
Moisture- and Saline-induced Degradation of Silver Wire and Silver-Aluminum Bond IntegritySia, Jonalyn J. / Arellano, Ian Harvey J. et al. | 2023
- i
-
Front Matter| 2023