IEEE 71st Electronic Components and Technology Conference [Title page] (English)
-
ISBN:
-
ISSN:
- Conference paper / Electronic Resource
-
Title:IEEE 71st Electronic Components and Technology Conference [Title page]
-
Published in:
-
Publisher:
- New search for: IEEE
-
Publication date:2021-06-01
-
Size:329968 byte
-
ISBN:
-
ISSN:
-
DOI:
-
Type of media:Conference paper
-
Type of material:Electronic Resource
-
Language:English
-
Source:
Table of contents conference proceedings
The tables of contents are generated automatically and are based on the data records of the individual contributions available in the index of the TIB portal. The display of the Tables of Contents may therefore be incomplete.
- 1
-
[Copyright notice]| 2021
- 1
-
Die Embedding Challenges for EMIB Advanced Packaging TechnologyDuan, Gang / Kanaoka, Yosuke / McRee, Robin / Nie, Bai / Manepalli, Rahul et al. | 2021
- 1
-
The 2021 IEEE 71st Electronic Components and Technology Conference [Title page]| 2021
- 1
-
IEEE 71st Electronic Components and Technology Conference [Title page]| 2021
- 8
-
Advanced HDFO Packaging Solutions for Chiplets Integration in HPC ApplicationLee, Lihong Cao Teck / Chang, Yungshun / Huang, SimonYL / On, JY / Lin, Emmal / Yang, Owen et al. | 2021
- 14
-
Reliability of 3D-Opto-MID Packages for Asymmetric Optical Bus CouplersLorenz, Lukas / Hanesch, Florian / Nieweglowski, Krzysztof / Hamjah, Mohd-Khairulamzari / Franke, Jorg / Hoffmann, Gerd-Albert / Overmeyer, Ludger / Bock, Karlheinz et al. | 2021
- 22
-
Package Design Optimization of the Fan-out Interposer SystemKim, Sang Kyu / Park, Sangwook / Cha, Seung Yong / Jung, Sang Nam / Kim, Gyongbum / Oh, Dan Kyung Suk / Kim, Joonsung / Kim, Sang-Uk / Lee, Seok Won et al. | 2021
- 28
-
SoIS- An Ultra Large Size Integrated Substrate Technology Platform for HPC ApplicationsWu, Jiun Yi / Chen, Chien-Hsun / Lee, Chien-Hsun / Liu, Chung-Shi / Yu, Douglas C. H. et al. | 2021
- 34
-
FOWLP-Based Flexible Hybrid Electronics with 3D-IC Chiplets for Smart Skin DisplaySusumago, Yuki / Odashima, Tomo / Ichikawa, Masatsugu / Hanaoka, Hiroki / Kino, Hisashi / Tanaka, Tetsu / Fukushima, Takafumi et al. | 2021
- 40
-
Enabling D2W / D2D Hybrid Bonding on manufacturing equipment based on simulated process parametersRudolph, C. / Hanisch, A. / Voigtlander, M. / Gansauer, P. / Wachsmuth, H. / Kuttler, S. / Wittler, O. / Werner, T. / Panchenko, I. / Wolf, M.J. et al. | 2021
- 45
-
Deca & Cadence Breakthrough Heterogeneous Integration Barriers with Adaptive Patterning™Hudson, Edward / Baldwin, Dan / Olson, Tim / Bishop, Craig / Kellar, Jan / Gabriel, Robin et al. | 2021
- 50
-
nSiP(System in Package) Platform for various module packaging applicationsKang, Lewis In Soo / Kim, Jay / Lee, JK / Shin, WS / Kim, NamChul / Park, SY et al. | 2021
- 56
-
Chip-Last HDFO (High-Density Fan-Out) Interposer-PoPKim, JaeYoon / Kim, KyeRyung / Lee, EunYoung / Hong, SeHwan / Kim, JiHyun / Ryu, JiYeon / Lee, JiHun / Hiner, David / Do, WonChul / Khim, JinYoung et al. | 2021
- 62
-
The Influence of Layer thickness on Crackstops' Mechanical Strength and RobustnessPolomoff, Nicholas Alexander / Rabie, Mohamed A. et al. | 2021
- 72
-
Advanced Outlier Die Control Technology in Fan-Out Panel Level Packaging Using Feedforward LithographyChang, John / Lu, Jian / Ali, Burhan et al. | 2021
- 78
-
A High Performance Package with Fine-Pitch RDL Quality ManagementFang, Jen-Kuang / Fong, Cher-Min / Chen, Jhao-Cheng / Chang, Huang-Hsieh / Lu, Wen-Long / Yang, Peng / Tu, Hung-Jung / Huang, Min-Lung et al. | 2021
- 84
-
Reliability Considerations for Wafer Scale SystemsChase, Niloofar Shakoorzadeh / Irwin, Randall / Yang, Yu Tao / Ren, Haoxiang / Iyer, Subramanian S. et al. | 2021
- 90
-
Analysis on Distortion of Fan-Out Panel Level Packages (FOPLP)Park, Yongjin / Kim, Bong-Soo / Ko, Tae-Ho / Kim, Sung-Hoan / Lee, Seok Won / Cho, Tae-Je et al. | 2021
- 96
-
S-Connect Fan-out Interposer For Next Gen Heterogeneous IntegrationLee, JiHun / Yong, GamHan / Jeong, MinSu / Jeon, JongHyun / Han, DongHoon / Lee, MinKeon / Do, WonChul / Sohn, EunSook / Kelly, Mike / Hiner, Dave et al. | 2021
- 101
-
Wafer Level System Integration of the Fifth Generation CoWoS®-S with High Performance Si Interposer at 2500 mm2Huang, P. K. / Lu, C. Y. / Wei, W. H. / Chiu, Christine / Ting, K. C. / Hu, Clark / Tsai, C.H. / Hou, S. Y. / Chiou, W. C. / Wang, C. T. et al. | 2021
- 105
-
Advanced System Integration for High Performance Computing with Liquid CoolingHung, Jeng-Nan / Li, Hung-Chi / Lin, Po-Fan / Ku, Terry / Yu, C. H. / Yee, KC / Yu, Doug C. H. et al. | 2021
- 112
-
A New Semiconductor Package Design Flow and Platform Applied on High Density Fan-out ChipWang, Chen-Chao / Huang, Chih-Yi / Chang, Keng-Tuan / Lin, Youle et al. | 2021
- 118
-
Development of a Novel Lead Frame Based Double Side Liquid Cooling High Performance SiC Power ModuleTang, Gongyue / Wai, Leong Ching / Lim, Siak Boon / Ye, Yong Liang / Lau, Boon Long / Yamamoto, Kazunori / Zhang, Xiaowu et al. | 2021
- 125
-
Scaling M-Series™ for ChipletsSandstrom, Cliff / Jose, Benedict San / Olson, Tim / Bishop, Craig et al. | 2021
- 130
-
InFO_oS (Integrated Fan-Out on Substrate) Technology for Advanced Chiplet IntegrationChiang, Y. P. / Tai, S. P. / Wu, W.C. / Yeh, John / Wang, C. T. / Yu, Douglas C. H. et al. | 2021
- 136
-
Direct Bonded Heterogeneous Integration (DBHi) Si BridgeSikka, Kamal / Bonam, Ravi / Liu, Yang / Andry, Paul / Parekh, Dishit / Jain, Aakrati / Bergendahl, Marc / Divakaruni, Rama / Cournoyer, Maryse / Gagnon, Pascale et al. | 2021
- 148
-
Fan-Out (RDL-First) Panel-Level Hybrid Substrate for Heterogeneous IntegrationLau, John H / Chen, Gary Chang-Fu / Huang, Jones Yu-Cheng / Chou, Ricky Tsun-Sheng / Yang, Channing Cheng-Lin / Liu, Hsing-Ning / Tseng, Tzvy-Jang et al. | 2021
- 157
-
2.2D Die last Integrated Substrate for High Performance ApplicationsHu, Dyi Chung / Chen, Er Hao / Lee, Jeffrey ChangBing / Sun, Chia Peng / Hsu, Chih Chung et al. | 2021
- 164
-
Novel high-power delivery architecture for heterogeneous Integration systemsKannan, K.T. / Iyer, Subramanian S. et al. | 2021
- 170
-
TSV-Last Integration to Replace ASIC Wire Bonds in the Assembly of X-Ray Detector ArraysHicks, Jennifer Ovental / Malta, Dean / Bordelon, David / Richter, Daniel / Hong, Jaesub / Grindlay, Jonathan / Allen, Branden / Violette, Daniel P. / Miyasaka, Hiromasa et al. | 2021
- 178
-
Chiplets in Wafers (CiW) - Process Design Kit and Demonstration of High-Frequency Circuits with GaN Chiplets in Silicon InterposersHerrault, Florian / Wong, Joel / Ramos, Ignacio / Tai, Haw / King, Matthew et al. | 2021
- 185
-
Miniaturized 3D Functional Interposer Using Bumpless Chip-on-Wafer (COW) Integration with CapacitorsFunaki, Tatsuya / Satake, Yoshiaki / Kobinata, Kyosuke / Hsiao, Chih-Cheng / Matsuno, Hitoshi / Abe, Shunsuke / Kim, Youngsuk / Ohba, Takayuki et al. | 2021
- 191
-
Multi-frequency Miniaturized RF Components Using Hybrid SubstratesMondal, Saikat / Karrapuswami, Saranraj / Kumar, Deepak / Chahal, Premjeet et al. | 2021
- 197
-
Next generation of adhesion enhancement system for high speed substrate manufacturingThomas, Thomas / Brooks, Patrick / Michalik, Fabian / Cho, Wonjin et al. | 2021
- 203
-
Two-step fabrication process for die-to-die and die-to-wafer Cu-Cu bondsOng, Jia Juen / Shie, Kai-Cheng / Tu, King-Ning / Chen, Chih et al. | 2021
- 211
-
Cu Pillar Bump Design Parameters for Flip Chip IntegrationWen, Shengmin / Goodelle, Jason / Moua, VanDee / Huang, Kenny / Xiao, Chris et al. | 2021
- 217
-
Design, Materials, Process, Fabrication, and Reliability of Mini-LED RGB Display by Fan-Out Panel-Level PackagingLau, John H / Ko, Cheng-Ta / Lin, Curry / Tseng, Tzvy-Jang / Yang, Kai-Ming / Xia, Tim / Lin, Puru Bruce / Peng, Chia-Yu / Lin, Eagle / Chang, Leo et al. | 2021
- 225
-
Self-assembly and mass reflow of copper bumps for flip-chip hybridization in photonic applicationsMourier, Thierry / Auffret, J. / Boutafa, L. / Miloud-Ali, N. / Mendizabal, L. / Peray, P. / Castany, O. et al. | 2021
- 231
-
High Density Multi-Chip Module for Photonic Reservoir ComputingHeroux, Jean Benoit / Yamane, Toshiyuki / Numata, Hidetoshi / Nakano, Daiju et al. | 2021
- 237
-
Integrated Laser Attach Technology on a Monolithic Silicon Photonics PlatformBian, Yusheng / Ramachandran, Koushik / Peng, Bo / Hedrick, Brittany / Mills, Scott / Donegan, Keith / Esopi, Monica / Hirokawa, Takako / Jacob, Ajey / Karra, Vaishnavi et al. | 2021
- 245
-
Packaging High-Power Photodiodes for Microwave Photonic ApplicationsYao, Peng / Konkol, Matthew / Carey, Victoria / Buchan, Jesse / Whitson, Jeffery / Shreve, Kevin / Wang, Fuquan / Prather, Dennis et al. | 2021
- 250
-
FOWLP and Si-Interposer for High-Speed Photonic PackagingGuan, Lim Teck / Ching, Eva Wai Leong / Ching, Jong Ming / Leng, Loh Woon / Wee, David Ho Soon / Bhattacharya, Surya et al. | 2021
- 256
-
Low ESL High Voltage Si-IPD as Enabler for 140 W LD Output and Less 1 ns FWHM LiDAR ModuleJatlaoui, Mohamed Mehdi / Aubry, Yves / Gaborieau, Sophie / Longuet, Stephane / Dubos, Laurent / Nakano, Hiroyuki / Matsuoka, Takahiro / Kushima, Takahito / Ohara, Tatsuya / Ando, Shota et al. | 2021
- 263
-
Heterogeneous Integration of a Compact Universal Photonic Engine for Silicon Photonics Applications in HPCHsia, H. / Tsai, C.H. / Ting, K.C. / Kuo, F.W. / Lin, C.C. / Wang, C.T. / Hou, S.Y. / Chiou, W.C. / Yu, Douglas C.H. et al. | 2021
- 269
-
Integrated Connector for Silicon Photonic Co-package Optics with Strain Relief Accommodation Through Fiber BendingJanta-Polczynski, Alexander / Robitaille, Martin et al. | 2021
- 279
-
Heterogenous Integration of Silicon Ion Trap and Glass Interposer for Scalable Quantum Computing Enabled by TSV, Micro-bumps and RDLZhao, Peng / Li, Hong Yu / Tao, Jing / Lim, Yu Dian / Seit, Wen Wei / Guidoni, Luca / Tan, Chuan Seng et al. | 2021
- 285
-
Pre-bond Qualification of Through-Silicon Via for the Application of 3-D Chip StackingHu, Luke / Chen, Chun-Hung / Lin, M. J. / Lin, C. F. / Yeh, C. T. / Kuo, C. H. / Lin, Tony / Hsu, Steven et al. | 2021
- 292
-
3D Die-Stack on Substrate (3D-DSS) Packaging Technology and FEM Analysis for $55\ \mu\mathrm{m}-75\ \mu \mathrm{m}$ Mixed Pitch Interconnections on High Density LaminateSakuma, Katsuyuki / Farooq, Mukta / Andry, Paul / Cabral, Cyril / Rajalingam, Sankeerth / McHerron, Dale / Li, Shidong / Kastberg, Russell / Wassick, Thomas et al. | 2021
- 298
-
I/O Architecture, Substrate Design, and Bonding Process for a Heterogeneous Dielet-Assembly based Waferscale ProcessorPal, Saptadeep / Alam, Irina / Sahoo, Krutikesh / Suhail, Haris / Kumar, Rakesh / Pamarti, Sudhakar / Gupta, Puneet / Iyer, Subramanian S. et al. | 2021
- 304
-
Monitoring of the Effect of Thermal Shock on Crack Growth in Copper Through-Glass Via SubstratesOkoro, Chukwudi / Jayaraman, Shrisudersan / Pollard, Scott et al. | 2021
- 310
-
Cost Effective 2.3D Packaging Solution by using Fanout Panel Level RDLKim, Joonsung / Choi, Jaehoon / Kim, Sanguk / Choi, Jooyoung / Park, Yongjin / Kim, Gyoungbum / Kim, Sangyu / Park, Sangwook / Oh, Hwasub / Lee, Seok Won et al. | 2021
- 315
-
A TSV-Last Approach for 3D-IC Integration and Packaging using WNi Platable Barrier LayerMariappan, Murugesan / Mori, Kiyoharu / Koyanagi, Mitsumasa / Fukushima, Takafumi et al. | 2021
- 321
-
Novel 2.5D RDL Interposer Packaging: A Key Enabler for the New Era of Heterogenous Chip IntegrationKim, Min Jung / Lee, Seok Hyun / Suk, Kyoung Lim / Jang, Jae Gwon / Jeon, Gwang-Jae / Choi, Ju-il / Yun, Hyo Jin / Hong, Jongpa / Choi, Ju-Yeon / Lee, Won Jae et al. | 2021
- 327
-
The Dynamic Behavior of Electromigration in a Novel Cu Tall Pillar/Cu Via Interconnect for Fan-out PackagingLiang, Chien-Lung / Tsai, Min-Yan / Lin, Yung-Sheng / Lin, I-Ting / Yang, Sheng-Wen / Huang, Min-Lung / Fang, Jen-Kuang / Lin, Kwang-Lung et al. | 2021
- 334
-
Electrical design challenges in High Bandwidth Memory and Advanced Interface Bus interfaces on HD-FOWLP technologyRotaru, Mihai Dragos / Kangrong, Li et al. | 2021
- 340
-
Advances in Photosensitive Polymer Based Damascene RDL Processes: Toward Submicrometer Pitches With More Metal LayersChery, Emmanuel / Slabbekoorn, John / Pinho, Nelson / Miller, Andy / Beyne, Eric et al. | 2021
- 347
-
Ultra-High Strength Cu-Cu Bonding under Low Thermal Budget for Chiplet Heterogeneous ApplicationsHong, Zhong-Jie / Liu, Demin / Hu, Han-Wen / Lin, Ming-Chang / Hsieh, Tsau-Hua / Chen, Kuan-Neng et al. | 2021
- 353
-
Effectiveness of Inorganic Dielectric Layer on Submicron-scale Cu Traces against Thermal Oxidative StressKudo, Hiroshi / Takano, Takamasa / Sakamoto, Kouji / Kitayama, Daisuke / Iida, Haruo / Tanaka, Masaya / Tai, Takahiro / Okazaki, Yumi / Suzuki, Jyunya / Maekawa, Shinji et al. | 2021
- 359
-
Reliability of Chip-Last Fan-Out Panel-Level Packaging for Heterogeneous IntegrationLau, John H / Ko, Cheng-Ta / Peng, Chia-Yu / Yang, Kai-Ming / Xia, Tim / Lin, Puru Bruce / Chen, Jean-Jou / Huang, Po-Chun / Tseng, Tzvy-Jang / Lin, Eagle et al. | 2021
- 365
-
Low Temperature Wafer-To-Wafer Hybrid Bonding by Nanotwinned CopperChiu, Wei-Lan / Lee, Ou-Hsiang / Chiang, Chia-Wen / Chang, Hsiang-Hung et al. | 2021
- 371
-
Room Temperature KlettWelding Interconnect Technology for High Performance CMOS LogicRoustaie, Farough / Quednau, Sebastian / Weissenborn, Florian / Birlem, Olav / Riehl, David / Ding, Xiang / Kramer, Andreas / Hofmann, Klaus et al. | 2021
- 377
-
Low Temperature Cu-Cu Bonding with Electroless Deposited Metal Passivation for Fine-Pitch 3D PackagingHuang, Yuan-Chiu / Liu, Demin / Hsiung, Kuma / Chou, Tzu-Chieh / Hu, Han-Wen / Sundarrajan, Arvind / Chang, Hsin Chi / Pan, Yi-Yu / Weng, Ming-Wei / Chen, Kuan-Neng et al. | 2021
- 383
-
Low Temperature Hybrid Bonding for Die to Wafer Stacking ApplicationsGao, Guilian / Mirkarimi, Laura / Fountain, Gill / Suwito, Dominik / Theil, Jeremy / Workman, Thomas / Uzoh, Cyprian / Guevara, Gabe / Lee, Bongsub / Huyhn, Michael et al. | 2021
- 390
-
Low-temperature all-Cu interconnections formed by pressure-less sintering of Cu-pillars with nanoporous-Cu capsSosa, Ramon A. / Mohan, Kashyap / Antoniou, Antonia / Smet, Vanessa / Thienpont, Denise / Tan, YY et al. | 2021
- 395
-
Key elements for hybridization technology development of $2000\times 2000$ pixels Infrared Focal Plane ArraysRoumegoux, J. / Loquet, Y. / Brosse, B. / Micoud, E. / Leininger, H. / Barnerias, J. et al. | 2021
- 402
-
Numerical Investigation on Microfluidic Electroless Deposition for Uniform Copper Pillar Microbumps InterconnectionZhang, Yonglin / Chen, Haibin / Fan, Haibo / Yang, Jinglei / Wu, Jingshen et al. | 2021
- 408
-
Plasma Activated Low-temperature Die-level Direct Bonding with Advanced Wafer Dicing Technologies for 3D Heterogeneous IntegrationSakuma, Katsuyuki / Parekh, Dishit P. / Belyansky, Michael / Gomez, Juan-Manuel / Skordas, Spyridon / McHerron, Dale / De Sousa, Isabel / Phaneuf, Marc / Desrochers, Martin M / Li, Ming et al. | 2021
- 415
-
Multi-Stack Wafer Bonding Demonstration utilizing Cu to Cu Hybrid Bonding and TSV enabling Diverse 3D IntegrationKim, TaeSeong / Cho, Sohye / Hwang, SeonKwan / Lee, Kyuha / Hong, Yikoan / Lee, Hakseung / Cho, Hyokyung / Moon, Kwangjin / Na, Hoonjoo / Hwang, Kihyun et al. | 2021
- 420
-
In-Depth Parametric Study of Ar or N2 Plasma Activated Cu Surfaces for Cu-Cu Direct BondingHu, Liangxing / Goh, Simon Chun Kiat / Tao, Jing / Lim, Yu Dian / Zhao, Peng / Lim, Michael Joo Zhong / Tan, Chuan Seng et al. | 2021
- 426
-
Dielectric Materials Characterization for Hybrid BondingChidambaram, Vivek / Lianto, Prayudi / Wang, Xiangyu / See, Gilbert / Wiswell, Nicholas / Kawano, Masaya et al. | 2021
- 432
-
Hybrid Bonding of Nanotwinned Copper/organic Dielectrics with Low Thermal BudgetShie, Kai-Cheng / He, Pin-Syuan / Kuo, Yu-Hao / Ong, Jia Juen / Tu, K.N. / Lin, Benson Tzu-Hung / Chang, Chia-Cheng / Chen, Chih et al. | 2021
- 438
-
Comprehensive study on Chip to wafer hybrid bonding process for fine pitch high density heterogeneous applicationsSiang Lim, Sharon Pei / Chong, Ser Choong / Chidambaram, Vivek et al. | 2021
- 445
-
Feasibility Study of Nanotwinned Copper and Adhesive Hybrid Bonding for Heterogeneous IntegrationHsiao, Chih-Cheng / Fu, Huan-Chun / Chiang, Chia-Wen / Lee, Ou-Hsiang / Yang, Tsung-Yu Ou / Chang, Hsiang-Hung et al. | 2021
- 451
-
Scaling Solder Micro-Bump Interconnect Down to $10\ \mu\mathrm{m}$ Pitch for Advanced 3D IC PackagesLi, Zhaozhi / Tomita, Yoshihiro / Elsherbini, Adel A. / Liu, Pilin / Sawyer, Holly A. / Swan, Johanna M. / Liff, Shawna M. et al. | 2021
- 457
-
Fluxless Bonding of Large Area (≥ 900 mm2) Dies-Opportunities and ChallengesBajwa, Adeel / Colosimo, Tom / Grant, Tim / Chylak, Bob et al. | 2021
- 462
-
Laser assisted transfer of solder material from a solid-state solder layer for mask-less formation of micro solder depots on Cu-pillars and ENIG pad structuresFettke, Matthias / Baba, Rojhat / Kubsch, Timo / Friedrich, Georg / Thalmann, Robert / Bejugam, Vinith / Yeoh, Kim Hoey / Teutsch, Thorsten et al. | 2021
- 470
-
Towards $5\mu \mathrm{m}$ interconnection pitch with Die-to-Wafer direct hybrid bondingBourjot, Emilie / Castan, Clement / Nadi, Noura / Bond, Alice / Bresson, Nicolas / Sanchez, Loic / Fournel, Frank / Raynaud, Nicolas / Metzger, Pascal / Cheramy, Severine et al. | 2021
- 476
-
Development of Hybrid Bonding Process for Embedded Bump with Cu-Sn/BCB StructureChen, Huang / Shi, Xiuyu / Wang, Jin / Hu, Yang / Wang, Qian / Cai, Jian et al. | 2021
- 481
-
Comparison of 3D Packages with $20 \mu \mathrm{m}$ bump pitch using reflow soldering and thermal compression bondingChan, Mu Hsuan / Chuang, Chris / Huang, Yu Lung / Chen, Wei Jhen / Jiang, Don Son / Huang, C.M. / Chung, C. Key et al. | 2021
- 487
-
Copper to gold thermal compression bonding in heterogenous wafer-scale systemsSahoo, Krutikesh / Pal, Saptadeep / Shakoorzadeh, Niloofar / Yang, Yu-Tao / Iyer, Subramanian S. et al. | 2021
- 494
-
Wafer-Level Flexible 3D Corrugated Interconnect Formation for Scalable In-Mold Electronics with Embedded ChipletsOdashima, Tomo / Susumago, Yuki / Nagata, Shuta / Kino, Hisashi / Tanaka, Tetsu / Fukushima, Takafumi et al. | 2021
- 500
-
Printed Stretchable Conductors for Smart Clothing: the Effect of Conductor Geometry and Substrate Properties on Electromechanical BehaviorsSomarathna, K. U. S. / Khinda, G. S. / Garakani, B. / Abbara, E. M. / Stoffel, N. / Borgesen, P. / Poliks, M. D. et al. | 2021
- 507
-
Flexible Connectors and PCB Segmentation for Signaling and Power Delivery in Wafer-Scale SystemsIrwin, Randall / Sahoo, Krutikesh / Pal, Saptadeep / Iyer, Subramanian S. et al. | 2021
- 514
-
Novel Connector Mechanism Using Anisotropic Conductive Rubber for Trillion-Node Engine as an IoT Edge PlatformAgawa, Kenichi / Mori, Tokihiko / Ninomiya, Ryoji / Takizawa, Minoru / Sakurai, Takayasu et al. | 2021
- 520
-
The reliability of ENIG joint bonded by In-coated Cu sheetNishikawa, Hiroshi / Wang, Jianhao / Kariya, Kento / Masago, Noriyuki et al. | 2021
- 526
-
Low temperature and low pressure die-attach bonding of high power light emitting diodes with self reducing copper complex pasteBhogaraju, Sri Krishna / Schmid, Maximilian / Hufnagel, Elias / Conti, Fosca / Kotadia, Hiren R / Elger, Gordon et al. | 2021
- 532
-
Low-temperature MOD assisted sintering of Ag nanoparticles for power device die-attachLiu, Xun / Li, Junjie / Liu, Li / Zhu, Pengli / Zhao, Tao / Sun, Rong et al. | 2021
- 538
-
Material Design and High Frequency Characterization of Novel Ultra-Low Loss Dielectric Material for 5G and 6G ApplicationsKakutani, Takenori / Suzuki, Yuya / Koh, Meiten / Sekiguchi, Shoya / Matsumura, Satoko / Oki, Kota / Mishima, Shoko / Ishikawa, Nobuhiro / Ogata, Toshiyuki / Erdogan, Serhat et al. | 2021
- 544
-
Advanced Low-Loss Photo-imageable Dielectric Material for RF/Millimeter-Wave Applications and Demonstration of High-Density InterconnectKanno, Kimiyuki / Ito, Hirokazu / Ogawa, Taku / Tatara, Ryoji / Hasegawa, Koichi / Watanabe, Atom / Kumar, Lakshmi Narasimha Vijay / Swaminathan, Madhavan et al. | 2021
- 550
-
Low Temperature Curable Low Dk & Df Polyimide for Millimeter-Wave DeviceAraki, Hitoshi / Shimada, Akira / Ogasawara, Hisashi / Jukei, Masaya / Fujiwara, Takenori / Tomikawa, Masao et al. | 2021
- 556
-
Progression in a Novel Low Loss Photodielectric for Wafer Level Packaging (WLP)Han, Katherine / Akatsuka, Yasumasa / Nawrocki, Daniel / Cordero, Jenna et al. | 2021
- 564
-
Extraction of Complex Permittivity of Dielectrics on Package from W-band to D-bandLin, Yi-Ting / Kuo, Hung-Chun / Wu, Po-I / Jhong, Ming-Fong / Pan, Po-Chih / Liu, Chung-Yuan / Wang, Chen-Chao / Wu, Tzong-Lin et al. | 2021
- 570
-
Development of Highly Reliable Crack Resistive Build-up Dielectric Material with Low Df Characteristic for Next-Gen 2.5D PackagesHayashi, Tatsushi / Lin, Po Yu / Watanabe, Ryoichi / Ichikawa, Seiko et al. | 2021
- 577
-
Sensitivity of Dielectric Substrate Property Discrepancy and Metal Microstrip Roughness to the Electronic Characteristics of Antenna-in-Packaging for 5G Applications at Millimeter-Wave FrequenciesWu, Kuan-Hsun / Lin, Zhao-He / Chou, Hsi-Tseng / Shen, Pin-Zhong / Lin, Ding-Bing / Yang, Chao-Shun / Chou, Chieh-Wei / Pan, Chi-Liang / Lin, Ji-Cheng / Fang, Li-Chih et al. | 2021
- 583
-
Extraordinarily enhanced sintering performance of pressureless sinterable Cu nanoparticle paste for achieving robust die-attach bonding by using reducing hybrid solventHuang, Hai-Jun / Zhou, Min-Bo / Zhang, Xin-Ping et al. | 2021
- 590
-
Effect of Sintering Density on Thermal Reliability by Non-pressure Sintering Die AttachMori, Takamichi / Okuda, Masatoshi / Katou, Ryo / Hashidate, Suguru / Minami, Junichirou / Sakurai, Tetsuo / Fukui, Taro et al. | 2021
- 597
-
Study of the adhesion of a sintered Ag joint on a Cu substrate using laser shocks. Influence of agingMilhet, Xavier / de Resseguier, Thibaut / Signor, Loic / Barraud, Etienne / N'Tsouaglo, Kokouvi Happy / Bahsoum, Hadi / Baillargeat, Jacques et al. | 2021
- 601
-
Rapid Enhancement of Thermal Conductivity by Incorporating Oxide-Free Copper Nanoparticle Clusters for Highly Conductive Liquid Metal-based Thermal Interface MaterialsKi, Seokkan / Shim, Jaehwan / Oh, Seungtae / Ryu, Seunggeol / Kim, Jaechoon / Nam, Youngsuk et al. | 2021
- 607
-
Large-scale and low-cost production of graphene nanosheets-based epoxy nanocomposites with latent catalyst to enhance thermal conductivity for electronic encapsulationSun, Zhijian / Li, Jiaxiong / Zhang, Mingyue / Yu, Michael / Moon, Kyoung-sik / Wong, Ching-ping et al. | 2021
- 613
-
Metal Thermal Interface Material for the Next Generation FCBGAKim, YunAh / Bae, JoHyun / Jung, HyunHye / Choi, MiKyoung / Kweon, YoungDo / Ryu, DongSu / Park, DongJoo / Khim, JinYong et al. | 2021
- 619
-
The Study of Packaging Substrate Effect in FCBGA by Laser Assisted BondingHuang, Yu Lung / Huang, Joe / Huang, C. M. / Yu, Kuo Haw / Luo, Tank / Hong, Wilson / Ren, Taishin et al. | 2021
- 625
-
Au-Less, Ni-Less & Roughness-Less PCB Cu Surface Treatment Using All-in-One Al2O3 PassivationPark, Soojae / Kim, Geonwoo / Oh, Chanmi / Yoo, Munsang / Lee, Kyujin / Lee, Ken et al. | 2021
- 635
-
Challenges and key learnings in enabling Low Temperature Solder (LTS) technology at packaging components supply basePrakash, Anna / Byrd, Kevin / Sidhu, Rajen / Elhalawaty, Shereen / Wu, Nevil / Okumura, Hiroshi / Erukula, Srinivas / Lim, Jason et al. | 2021
- 643
-
A Novel Bi-Free Low Temperature Solder Paste with Outstanding Drop-Shock ResistanceZhang, Hongwen / Lytwynec, Samuel / Wang, Huaguang / Geng, Jie / Mutuku, Francis / Lee, Ning-Cheng et al. | 2021
- 654
-
Process Developments in Transient Liquid Phase Bonding of Bi-Ni for High-Temperature Pb-Free Solder AlternativesFallahdoost, Hamid / Cho, Junghyun et al. | 2021
- 661
-
Electromigration Effect on the Pd Coated Cu WirebondTajedini, Mohsen / Osmanson, Allison Theresa / Kim, Yi Ram / Madanipour, Hossein / Kim, Choong-Un / Glasscock, Bradley / Khan, Muhammad et al. | 2021
- 667
-
Effects of Aging on Microstructure and Mechanical Properties of Sn-Ag-Cu-Bi Solder AlloysShukla, Vishnu / Ahmed, Omar / Jalilvand, Golareh / Mehta, Abhishek / Su, Peng / Jiang, Tengfei et al. | 2021
- 673
-
One-step TSV process development for 4-layer wafer stacked DRAMKawano, Masaya / Wang, Xiang-Yu / Ren, Qin / Loh, Woon-Leng / Rao, BSS. Chandra / Chui, King-Jien et al. | 2021
- 680
-
A Novel Photosensitive Polyimide Adhesive Material for Hybrid Bonding ProcessingYoneda, Satoshi / Adachi, Kenya / Kobayashi, Kaori / Matsukawa, Daisaku / Sasaki, Mamoru / Itabashi, Toshiaki / Shirasaka, Toshiaki / Shibata, Tomoaki et al. | 2021
- 687
-
Development of simultaneous transferring and bonding (SITRAB) process for µLED arrays using Anisotropic Solder Paste (ASP) and Laser-Assisted Bonding (LAB) TechnologyJoo, Jiho / Lee, Chanmi / Kye, In-seok / Eom, Yong-Sung / Jang, Ki-seok / Choi, Gwang-Mun / Moon, Seok Hwan / Yun, Ho-Gyeong / Choi, Kwang-Seong et al. | 2021
- 693
-
Development of a Temporary Bonding Tape Having over 300 degC Thermal Resistance for Cu-Cu Direct BondingDaido, Izumi / Watanabe, Ryoichi / Takahashi, Toshio / Hatai, Munehiro et al. | 2021
- 700
-
Investigation of Wet Pretreatment to Improve Cu-Cu Bonding for Hybrid Bonding ApplicationsHung, Tzu-Heng / Kang, Ting-Cih / Mao, Shan-Yu / Chou, Tzu-Chieh / Hu, Han-Wen / Chiu, Hsih-Yang / Shih, Chiang-Lin / Chen, Kuan-Neng et al. | 2021
- 706
-
Non Conductive Film Analysis Using Cure Kinetics and Rheokinetics for Gang Bonding Process for 3DIC TSV PackagingMoon, Ji Young / Shin, Yongchul / Kim, Sumin / Hahn, Seung Ho / Lim, Kyeongbin / Jung, Jung Woo / Lim, Chaemook / Kim, Youngbum / Hwang, Jihwan / Rhee, Minwoo Daniel et al. | 2021
- 711
-
A Single-Layer Mechanical Debonding Adhesive for Advanced Wafer-Level PackagingLiu, Xiao / Wang, Yubao / Blumenshine, Debbie / Dong, Mei / Puligadda, Rama et al. | 2021
- 717
-
Fine RDL patterning technology for heterogeneous packages in fan-out panel level packagingKim, Youngmin / Jeon, Yoon Young / Lee, Sangyun / Lee, Hyun-Dong / Lee, Changbo / Kim, Minju / Oh, Joon Seok et al. | 2021
- 723
-
Reliability Performance of Advanced Organic Interposer (CoWoS®-R) PackagesLin, Po-Yao / Yew, M. C. / Yeh, S. S. / Chen, S. M. / Lin, C. H. / Chen, C. S. / Hsieh, C. C. / Lu, Y. J. / Chuang, P. Y. / Cheng, H. K. et al. | 2021
- 729
-
Novel insulation materials suitable for FOWLP and FOPLPIkehira, Shu et al. | 2021
- 736
-
Versatile laser release material development for chip-first and chip-last fan-out wafer-level packagingLee, Chia-Hsin / Huang, Baron / See, Jennifer / Liu, Xiao / Lin, Yu-Min / Chiu, Wei-Lan / Chen, Chao-Jung / Lee, Ou-Hsiang / Ding, Hsiang-En / Cheng, Ren-Shin et al. | 2021
- 742
-
Flexible Two-layered Photo-Imageable Dielectric and its Application to Thin Form-Factor and High-Density FPC (Flexible Printed Circuit) using SAP (Semi-Additive Processes)Takashima, Shuhei / Odagiri, Yuto / Yoneda, Kazuyoshi / Hanada, Tadahiko et al. | 2021
- 748
-
Investigation of a Novel Substrate Core Material Designed to Reduce Package Warpage and Improve Assembly-Level ReliabilitySuzuki, Fumito / Shin, Tom / Behr, Andy / Honma, Masafumi / Midorikawa, Hirofumi / Takahashi, Ryuji et al. | 2021
- 754
-
Laser Releasable Temporary Bonding Film for Fanout Process with Large PanelHwang, Kyosung / Kim, Ki-Sun / Gorrell, Robin / Kim, Keon-woo / Yang, Yong-suk / Zou, Wei et al. | 2021
- 762
-
Electromechanical Characterization of a Highly Stretchable Liquid Metal Derived Conductor for Wearable ElectronicsGarakani, Behnam / Somarathna, K. Udara S. / Khinda, Gurvinder Singh / Sivasubramony, Rajesh Sharma / Abbara, El Mehdi / Poliks, Mark D. / Srinivas, Sai / Kinzel, Chuck / Olvera-Gonzalez, Andrea / Wallans, Michael et al. | 2021
- 769
-
1 µm Diameter high Aspect Ratio via Formation on RDL Dielectric by Imprinting Technology\ \mu m$ diameter high aspect ratio via formation on RDL dielectric by imprinting technologyKawashita, Shinya / Honda, Kazutaka / Nishida, Masataka / Makino, Tatsuya / Suzuki, Naoya et al. | 2021
- 774
-
Selective Thinning Technology of Solder Resist for Ultra-Thin and High-Density IC PackagingSuzuki, Yuya / Toyoda, Yuji et al. | 2021
- 781
-
Novel Silicone Hotmelt Solutions for Electronic ComponentsYamazaki, Ryosuke / Ozaki, Kouichi / Imaizumi, Toru / Matsuhima, Hidenori / Hayashi, Masayuki / Yamamoto, Shinichi / Ushio, Yoshito et al. | 2021
- 787
-
Extreme Thin Peltier Modules Fabricated by the Printed Electronics MethodSeki, Yuta / Yamasaki, Toshiya / Todaka, Masaya / Morita, Wataru / Kato, Kunihisa / Muto, Tsuyoshi et al. | 2021
- 793
-
A novel integration scheme for wafer singulation and selective processing using temporary dry film resistLa Grappe, Alexandre / Visker, Evert / Redolfi, Augusto / Peng, Lan / Muga, Karthik / Huls, David / Vanhaelemeersch, Serge / Lauwers, Anne / Ackaert, Jan et al. | 2021
- 797
-
Study on EMI Shielding at the PCB Strip Level with Conformal Spray Coating ProcessPark, Sang Won / Yoon, Jin-Ho / Moon, Byoung Woong / Jeong, Ho Yeong / Jeong, Se Young / Lee, Seung Jae / Joo, Kisu et al. | 2021
- 802
-
Magnetically Actuated Test Method for Interfacial Fracture Reliability AssessmentChen, Rui / Ginga, Nicholas J. / Sitaraman, Suresh K. et al. | 2021
- 811
-
Evaluation of Low-k Integration Integrity Using Shear Testing on Sub-30 Micron Micro-Cu PillarsLee, Tae-Kyu / Baty, Greg / Ahmed, Omar / Su, Peng / Glasauer, Bernard et al. | 2021
- 816
-
A Fracture Mechanics Evaluation of the Cu-Polyimide Interface in Fan-Out Redistribution InterconnectLiu, Wei-Te / Yang, Chia-Ming / Chiu, Tz-Cheng / Chen, Dao-Long / Hsiao, Ching-Lin / Tarng, David et al. | 2021
- 823
-
Novel in-situ button shear methodology for efficient assessment of mold compound encapsulationDavid, Guillon / Andris, Avots / Katrin, Schlegel et al. | 2021
- 830
-
Low-Temperature High Strain Rate Constitutive Behavior of Doped and Undoped SnAgCu Solder Alloys after Prolonged Storage at High TemperatureLall, Pradeep / Yadav, Vikas / Mehta, Vishal / Suhling, Jeff / Locker, David et al. | 2021
- 842
-
Automated Void Detection in TSVs from 2D X-Ray Scans using Supervised Learning with 3D X-Ray ScansPahwa, Ramanpreet Singh / Gopalakrishnan, Saisubramaniam / Su, Huang / Ping, Ong Ee / Dai, Haiwen / Wee, David Ho Soon / Qin, Ren / Rao, Vempati Srinivasa et al. | 2021
- 850
-
Case studies of accurate fault localization in advanced packagesNair Gourikutty, Sajay Bhuvanendran / Alton, Jesse / Yeo, Desmond / Chua, Kok Keng / Huang, Sharon Lim Seow / Bhattacharya, Surya et al. | 2021
- 856
-
Hardware reliability in robo-taxiShi, Hualiang / Talisse, Hannah / Khau, Steven / Marroquin, Marco et al. | 2021
- 868
-
Mechanical Property and Microstructure Evolution in SAC and $\text{SAC} +\mathrm{X}$ Lead Free Solders Exposed to Various Thermal Cycling ProfilesHasan, S. M. Kamrul / Fahim, Abdullah / Al Ahsan, Mohammad / Suhling, Jeffrey C. / Lall, Pradeep et al. | 2021
- 877
-
Deep Learning assisted quantitative Assessment of the Porosity in Ag-Sinter joints based on non-destructive acoustic inspectionBrand, Sebastian / Koegel, Michael / Altmann, Frank / Bach, Linh et al. | 2021
- 885
-
Reliability of 6-Side Molded Panel-Level Chip-Scale Packages (PLCSPs)Lau, John H / Ko, Cheng-Ta / Peng, Chia-Yu / Tseng, Tzvy-Jang / Yang, Kai-Ming / Xia, Tim / Lin, Puru Bruce / Lin, Eagle / Chang, Leo / Liu, Hsing Ning et al. | 2021
- 895
-
In Situ Degradation Monitoring Methods during Lifetime Testing of Power Electronic ModulesSchiffmacher, Alexander / Strahringer, David / Malasani, Shreyas / Wilde, Juergen / Kempiak, Carsten / Lindemann, Andreas et al. | 2021
- 904
-
Data-Driven Remaining Useful Life Prediction of QFN Packages on Board Level with On-Chip Stress SensorsRiegel, Daniel / Gromala, Przemyslaw Jakub / Han, Bongtae / Rzepka, Sven et al. | 2021
- 910
-
Board Level Temperature Cycling Reliability of mmWave Modules on Hybrid SubstratesWambera, Laura / Meier, Karsten / Bock, Karlheinz / Gotze, Christian / Wieland, Marcel et al. | 2021
- 918
-
Electromigration Mechanisms of Solder Joints with Limited Sn Volume in Advanced Electronic PackagingLiu, Pilin / Overson, Alan / Goyal, Deepak et al. | 2021
- 925
-
Non-destructive Observation of Void Formation Due to Electromigration in Solder Microbump by 3D xrayShie, Kai-Cheng / Lin, Tzu-Wen / Tu, K.N. / Chen, Chih et al. | 2021
- 931
-
Study of Metallurgical Reaction and Electromigration Mechanism in Microbump with Embedded Cu BallMadanipour, Hossein / Kim, Yiram / Osmanson, Allison Theresa / Tajedini, Mohsen / Kim, Choong-un / Mishra, Dibyajat / Thompson, Patrick F. et al. | 2021
- 937
-
Mechanical Behavior and Reliability of SAC+Bi Lead Free Solders with Various Levels of BismuthHassan, KM Rafidh / Wu, Jing / Alam, Mohammad S. / Suhling, Jeffrey C. / Lall, Pradeep et al. | 2021
- 946
-
Modeling Flexible Electronics Under Biaxial StrainStewart, Benjamin G. / Sitaraman, Suresh K. et al. | 2021
- 954
-
Resolving Thermo-Mechanically Induced Circumferential Crack Formation in Copper Through-Glass ViasOkoro, Chukwudi / Allowatt, Tammie / Pollard, Scott et al. | 2021
- 959
-
The Comparative Study of High and Low Temperature Cure Polyimide For Wafer Level Package With Ultra-Thick Re-distribution Copper LayerKuo Frank, Kuei Hsiao / Lin, Ting-en / Lin, Joey / Lin, Yu Sheng / Chen, Stan / Chien, Feng Lung et al. | 2021
- 965
-
Fracture Modeling and Characterization of Underfill/Polymer Interfacial Adhesion in RDL Interposer PackageYeh, Shu-Shen / Lin, P. Y. / Hsu, C. K. / Lin, Y. S. / Wang, J. H. / Lai, P. C. / Chen, C. H. / Lee, Y. C. / Yew, M. C. / Cheng, S. K. et al. | 2021
- 971
-
Evolution of Viscoelastic Properties and Interface-Fracture Toughness Under Sustained High Temperature Operation Typical of Automotive Underhood for up to 1-yearLall, Pradeep / Zhang, Yunli / Kasturi, Madhu / Choudhury, Padmanava / Wu, Haotian / Suhling, Jeff / Davis, Edward et al. | 2021
- 983
-
Reliability Modeling of Micro-vias in High-Density Redistribution LayersNimbalkar, Pratik / Kathaperumal, Mohanalingam / Liu, Fuhan / Swaminathan, Madhavan / Tummala, Rao et al. | 2021
- 989
-
Simulation of moisture-induced plasticization in transfer-molded optical sensor packages using a time - temperature - moisture concentration superpositionHuber, Fabian / Etschmaier, Harald / Hadley, Peter et al. | 2021
- 995
-
Electromigration and Temperature Cycling Tests of Cu-Cu Joints Fabricated by Instant Copper Direct BondingShie, Kai-Cheng / Hsu, Po-Ning / Li, Yu-Jin / Tu, K.N. / Lin, Benson Tzu-Hung / Chang, Chia-Cheng / Chen, Chih et al. | 2021
- 1001
-
Effects of Heatsink Application and PCB Design Variations on BGA Solder Joint ReliabilityAhmed, Omar / Hutchinson, Leif / Su, Peng / Jiang, Tengfei et al. | 2021
- 1007
-
A Systematic Study and Lifetime Modeling on the Board Level Reliability of SSD after Temperature Cycling TestJeon, Choongpyo / Choi, Youngsung / Rhew, Keunho / Bae, Jinsoo / Cho, Yeungjung / Pae, Sangwoo et al. | 2021
- 1014
-
Hybrid Bonding Interconnect for Advanced Heterogeneously Integrated ProcessorsElsherbini, Adel / Liff, Shawna / Swan, Johanna / Jun, Kimin / Tiagaraj, Sathya / Pasdast, Gerald et al. | 2021
- 1020
-
Advanced 2.5D Heterogeneous Integrated Platform Using Flexible Biocompatible Substrate for Biomedical Sensing SystemKu, Shu-Yun / Tsai, Yi-Chieh / Chou, Tzu-Chieh / Hu, Han-Wen / Fang, Yu-Ren / Lin, Yu-Ju / Huang, Po-Tsang / Chiou, Jin-Chern / Chen, Kuan-Neng et al. | 2021
- 1026
-
Improving flip chip process for large 2.5D molded interposerLee, Dongwon / Yoon, Soomin / Jun, Joonho / Park, Jinwoo / Lee, Teakhoon / Kang, Un-Byoung / Lee, Jongho et al. | 2021
- 1031
-
High-speed, High-density, and Highly-manufacturable Cu-filled Through-Glass-Via Channel (Cu bridge) for Multi-chiplet SystemsKudo, Hiroshi / Takano, Takamasa / Akazawa, Miyuki / Yamada, Shouhei / Sakamoto, Kouji / Kitayama, Daisuke / Iida, Haruo / Tanaka, Masaya / Tai, Takahiro et al. | 2021
- 1038
-
Heterogeneous Integration of Double Side SiP for IoT and 5G ApplicationTsai, Mike / Chiu, Ryan / Tsai, Ming-fan / He, Eric / Yang, Erico / Chang, Tim / Chu, Frank / Chen, J. Y. et al. | 2021
- 1044
-
Simulation and experiment on warpage of heterogeneous integrated fan-out panel level packageXu, Guoliang / Sun, Chao / Ding, Jiaqi / Liu, Sheng / Kuang, Ziliang / Liu, Li / Chen, Zhiwen et al. | 2021
- 1050
-
CFD Simulation Analysis and Experimental Study of Capillary Underfill Flow in Heterogeneous IntegrationMiyazawa, Risa / Marushima, Chinami / Aoki, Toyohiro / Horibe, Akihiro / Hisada, Takashi et al. | 2021
- 1057
-
Multi-tier $\mathrm{N}=4$ Binary Stacking, combining Face-to-Face and Back-to-Back Hybrid Wafer-to-Wafer Bonding TechnologyVan Huylenbroeck, Stefaan / De Vos, Joeri / Teugels, Lieve / Iacovo, Serena / Fodor, Ferenc / Miller, Andy / Van der Plas, Geert / Beyer, Gerald / Beyne, Eric et al. | 2021
- 1063
-
600mm Fan-Out Panel Level Packaging (FOPLP) As A Scale Up Alternative to 300mm Fan-Out Wafer Level Packaging (FOWLP) with 6-Sided Die ProtectionLim, Jacinta Aman / Park, Yun-Mook / De Vera, Edil / Kim, Byung-Cheol / Dunlap, Brett et al. | 2021
- 1070
-
Demonstration of Fine Pitch RDL in Fanout Panel Level PackagingKim, Dowan / Park, Seokbong / Heo, Mina / Choi, Daeyeon / Jung, Hyunchul et al. | 2021
- 1076
-
A novel multi-chip stacking technology development using a flip-chip embedded interposer carrier integrated in fan-out wafer-level packagingLin, Yu-Min / Chiu, Wei-Lan / Chen, Chao-Jung / Ding, Hsiang-En / Lee, Ou-Hsiang / Lin, Ang-Ying / Cheng, Ren-Shin / Wu, Sheng-Tsai / Chang, Tao-Chih / Chang, Hsiang-Hung et al. | 2021
- 1082
-
Comprehensive Study of Thermal Impact on Warpage Behaviour of FOWLP with Different Die to Mold RatioChong, Ser Choong / Lim, Simon Siak Boon / Seit, Wen Wei / Chai, Tai Chong / Sanchez, Debbie Claire et al. | 2021
- 1088
-
A Novel Chip Placement Technlogy for Fan-Out WLP using Self-Assembly Technique with Porous Chuck TableYamada, Tadatomo / Takano, Ken / Menjo, Toshiaki / Takyu, Shinya et al. | 2021
- 1095
-
Extremely Large Exposure Field With Fine Resolution Lithography Technology To Enable Next Generation Panel Level Advanced PackagingChang, John / Chang, Timothy / Donaher, Casey / Banks, Perry / Peng, Aries et al. | 2021
- 1102
-
Highly Thermal Dissipation for Large HPC Package Using Liquid Metal MaterialsHuang, Yu Lung / Chung, C. Key / Lin, C.F. / Yu, Kuo Haw / Lin, Rung Jeng / Hong, Wilson et al. | 2021
- 1109
-
Cold Spray: A Disruptive Technology for Enabling Novel Packaging Thermomechanical SolutionsEid, Feras / Uppal, Aastha / Swan, Johanna et al. | 2021
- 1115
-
CoW Package Solution for Improving Thermal Characteristic of TSV-SiP for AI-InferenceSeo, Sun-Kyoung / Jo, Chajea / Choi, Mina / Kim, Taehwan / Kim, Hyo-eun et al. | 2021
- 1119
-
A study on IMC morphology and integration flow for low temperature and high throughput TCB down to $10\mu \mathrm{m}$ pitch microbumpsDerakhshandeh, Jaber / Gerets, Carine / Inoue, Fumihiro / Capuz, Giovanni / Cherman, Vladimir / Lofrano, Melina / Hou, Lin / Cochet, Tom / De Preter, Inge / Webers, Tomas et al. | 2021
- 1125
-
Laser vs. Blade Dicing for Direct Bonded Heterogeneous Integration (DBHi) Si BridgeJain, Aakrati / Sikka, Kamal / Gomez, Juan-Manuel / Parekh, Dishit / Bergendahl, Marc / van Borkulo, Jeroen / Biesheuvel, Kees / Doll, Roman / Mueller, Mark et al. | 2021
- 1131
-
Ultra-Thinning of 20 nm-Node DRAMs down to 3 µm for Wafer-on-Wafer (WOW) ApplicationsChen, Zhiwen / Araki, Naoko / Kim, Youngsuk / Fukuda, Tadashi / Sakui, Koji / Nakamura, Tomoji / Kobayashi, Tatsuji / Obara, Takashi / Ohba, Takayuki et al. | 2021
- 1138
-
Fully Additive Manufacturing of Passive Circuit Elements using Aerosol Jet PrintingEnakerakpo, Emuobosan / Alhendi, Mohammed / Khinda, Gurvinder Singh / Garakani, Behnam / Sandekelum Somarathna, K. Udara / Poliks, Mark / Gonya, Stephen / Basava, Venugopala et al. | 2021
- 1144
-
Novel Phased Array Antenna-in-Package Development and Active Module Demonstration for 5G Millimeter-Wave Wireless CommunicationGu, Xiaoxiong / Liu, Duixian / Suto, Yuki / Tojo, Yujiro / Hasegawa, Yuta / Baks, Christian / Guan, Ning / Paidimarri, Arun / Sadhu, Bodhisatwa / Valdes-Garcia, Alberto et al. | 2021
- 1150
-
Antennas in Glass Interposer For sub-THz ApplicationsHuang, Kai-Qi / Swaminathan, Madhavan et al. | 2021
- 1156
-
FOWLP AiP Optimization for Automotive Radar ApplicationsMei, Sun / Guan, Lim Teck / Chong, Chai Tai / Bhattacharya, Surya / Gang, Ma Yu et al. | 2021
- 1162
-
Considerations of SiP based Antenna in Package/ Module (AiP/AiM) Design at Sub-Terahertz Frequencies for Potential B5G/6G ApplicationsWu, Kuan-Hsun / Chou, Hsi-Tseng / Lin, Ding-Bing / Yang, Chao-Shun / Chou, Chieh-Wei / Pan, Chi-Liang / Lin, Chun-Te / Lin, Ji-Cheng / Fang, Li-Chih / Ivashina, Marianna et al. | 2021
- 1169
-
Co-Design of Chip-Package-Antenna in Fan-out Package for Practical 77 GHz Automotive RadarZhu, Chuanming / Wan, Yinglu / Duan, Zongming / Dai, Yuefei et al. | 2021
- 1175
-
Low Loss Cu/Co Multilayer Metaconductor Based Band Pass Filter Using Through Fused Silica Via (TFV) TechnologyBowrothu, Renuka / Kim, Hae-in / Yoon, Yong Kyu / Schmidt, Stephan / Santos, Rafael et al. | 2021
- 1181
-
An evaluation of package integrated probe coupled stacked patch antennas for 5G applicationsBulumulla, S. B. et al. | 2021
- 1187
-
Ultra-High Q-factor Through Fused-silica Via (TFV) Integrated 3D Solenoid Inductor for Millimeter Wave ApplicationsKim, Hae-In / Bowrothu, Renuka / Lee, Woosol / Yoon, Yong-Kyu et al. | 2021
- 1193
-
Fully Inkjet Printed 60GHz Backscatter 5G RFID Modules for Sensing and Localization in Internet of Things (IoT) and Digital Twins ApplicationsAdeyeye, Ajibayo / Lynch, Charles / He, Xuanke / Lee, Sanghoon / Cressler, John D. / Tentzeris, Manos M. et al. | 2021
- 1199
-
Design optimization of a 77 GHz antenna array using machine learningKaruppuswami, Saranraj / Mondal, Saikat / Chahal, Premjeet et al. | 2021
- 1205
-
High-frequency electrical circuit model for integrated capacitors utilizing lossy nanostructuresKrause, Sascha / Andersson, Rickard / Bylund, Maria / Marknas, Victor / Saleem, Amin M. / Passalaqua, Elisa / Kabir, Shafiq / Desmaris, Vincent et al. | 2021
- 1211
-
Optimizing On-Chip Decoupling Capacitors to Improve Power Supply Noise Induced Jitter and ESD RobustnessLiu, Xiaoping / Beyene, Wendemagegnehu / Sivarajah, Selvakumar / Jiang, Jenny et al. | 2021
- 1219
-
Chipscale Piezo-Magnetostrictive Interfaces - A new simplified and microminiaturized telemetry paradigm for Medical Device PackagesSayeed, Sk Yeahia Been / Abdal, Abdulhameed / Gaire, Pawan / Bhardwaj, Shubhendu / Sorushiani, Sepehr / Volakis, John / Lin, Wei-Chiang / Raj, Pulugurtha Markondeya et al. | 2021
- 1226
-
Multiphysics System Co-Design of a High-Precision, High-Voltage (±600V) Isolated Hall-Effect Current SensorMurugan, Rajen / Chen, Jie / Simmons, Patrick / Loveless, Steven / Tang, Tony / Gupta, Mohan / Santoyo, Tommy / Hatch, David / Trifonov, Dimitar / Thatcher, Klumpp et al. | 2021
- 1234
-
Machine Learning Based Design Space Exploration and Applications to Signal Integrity Analysis of 112Gb SerDes SystemsManukovsky, Alex / Shlepnev, Yuriy / Khasidashvili, Zurab et al. | 2021
- 1246
-
Line Coupling, Ground Defect, Port Termination, and Line Parameters Extraction for Coupled Lines with Mixed-mode StimuliHwang, Lih-Tyng / Huang, Ming-Yuan / Lin, Hung-Chih / Huang, Chien-Chang et al. | 2021
- 1252
-
Broadband permittivity characterization of polymers up to 110GHz using co-planar waveguidesPantano, Nicolas / Slabbekoorn, John / Duval, Fabrice / Beyne, Eric et al. | 2021
- 1258
-
Design and Development of High Density Fan-Out Wafer Level Package (HD-FOWLP) for Deep Neural Network (DNN) Chiplet Accelerators using Advanced Interface Bus (AIB)Rotaru, Mihai D. / Tang, Wei / Rahul, Dutta / Zhang, Zhengya et al. | 2021
- 1264
-
Signal and Power Integrity Analysis of A 0.38 pJ/bit 12.8 Gb/s Parallel Interface for Die-to-Die Link ApplicationsChang, Po-Hao / Chung, Chih-Lun / Hsu, Ying-Yu / Chiang, Chen-Feng et al. | 2021
- 1270
-
Signal Integrity(SI) aware HBM2e/3 interposer design approach considering y-axis offset between logic and HBM die for HPC/AI/Network applicationsKim, Taeyun / Jo, Chanmin / Moon, Sungwook et al. | 2021
- 1276
-
Differential DIMM OpenCAPI Memory Interface High Speed Channel Robustness and Scalability StudyCai, Biao / Mcilvain, Kevin / Tang, Junyan / Giesen, Kyle / Chen, Zhaoqing / Zhang, Hongqing / Beaman, Brian / Steffen, Chris / Fan, Zhineng / Mahran, Victor et al. | 2021
- 1286
-
Integrated Voltage Regulator Efficiency Improvement using Coaxial Magnetic Composite Core InductorsBharath, Krishna / Radhakrishnan, Kaladhar / Hill, Michael J. / Chatterjee, Prithwish / Hariri, Haifa / Venkataraman, Srikrishnan / Do, Huong T. / Wojewoda, Leigh / Srinivasan, Sriram et al. | 2021
- 1293
-
Demonstration of a High-Inductance, High-Density, and Low DC Resistance Compact Embedded Toroidal Inductor for IVRAlvarez, Claudio / Murali, Prahalad / Swaminathan, Madhavan / Oishi, Yusuke / Takashiro, Junichi / Nagatsuka, Ryo / Watanabe, Naoki et al. | 2021
- 1300
-
Multi-Terminal Low-ESL 3D Silicon Capacitors as Enabler for Optimized and Flat PDN DesignJatlaoui, Mohamed Mehdi / Aubry, Yves / Muller, Charles / Kasai, Ryo / Takeuchi, Takashi et al. | 2021
- 1307
-
PI/SI consideration for enabling 3D IC designSon, Jungil / Moon, Sungwook / Nam, Seungki / Kim, Wook et al. | 2021
- 1312
-
Package Power Distribution Current Density in Applications with Large Transient CurrentsDoyle, Matthew / Becker, Wiren D. / Cocchini, Matteo / Schoneck, Kyle / Connor, Samuel / Berge, Layne / Bai, Siqi / Drewniak, James et al. | 2021
- 1317
-
3D integrated high gain rectenna in package with metamaterial superstrates for high efficiency wireless power transfer applicationsLee, Woosol / Kim, Hae-in / Hwang, Sunghyun / Jeon, Saeyoung / Cho, Hyunho / Yoon, Yong-Kyu et al. | 2021
- 1323
-
A PCB Packaging Platform Enabling 100+ Gbaud Optoelectronic Device TestingMaharry, Aaron / Valenzuela, Luis A. / Buckwalter, James F. / Schow, Clint L. et al. | 2021
- 1329
-
Testing and Modeling of Board Level Reliability of WLCSP under UHAST ConditionsChen, Liangbiao / Fan, Xuejun / Liu, Yong et al. | 2021
- 1334
-
Life Prediction of Thin Flexible Batteries under U-Flex-to-Install, Dynamic Folding, Dynamic Twisting and Battery LaminationLall, Pradeep / Soni, Ved / Narangaparambil, Jinesh / Jang, Hyesoo / Miller, Scott et al. | 2021
- 1346
-
Board-Level Reliability Performance of Enterprise and Datacenter SSD Form Factor (EDSFF)Lau, Chun-Sean / Hilmi, Ahmad Faridzul / Ye, Ning / Yang, Bo et al. | 2021
- 1353
-
Improved Damage Modeling for Solder Joints under Combined Vibration and Temperature Cycling LoadingHohne, Robert / Meier, Karsten / Dasgupta, Abhijit / Leslie, David / Bock, Karl-Heinz et al. | 2021
- 1359
-
Reliability life assessment and prediction for high density FOWLP package using finite element analysis and statistical approachJi, Lin / Chai, Tai Chong et al. | 2021
- 1366
-
New Methodologies for Evaluating Microelectronics Subject to Board-level VibrationsKhaldarov, Valeriy / Xie, Dongji / Lee, Jeffrey / Shalumov, Alexander et al. | 2021
- 1376
-
Reliability analysis of 3D CSP MEMS and IC under thermal cycle-impact coupled multi-physics loadsZhang, Shuye / Xu, Jianhao / Zhang, Shang / He, Peng / Sun, Mingjia / Yang, Jianqun / Li, Xingji / Paik, Kyung-Wook et al. | 2021
- 1382
-
Thermal Analysis of DBHi (Direct Bonded Heterogeneous Integration) Si BridgeMatsumoto, Keiji / Bergendahl, Marc / Sikka, Kamal / Kohara, Sayuri / Mori, Hiroyuki / Hisada, Takashi et al. | 2021
- 1391
-
Optimizing Die Corner and Optical Groove Corner Crackstop Support Structures for Mitigating Dicing and CPI RisksRabie, Mohamed A. / Polomoff, Nicholas A. / Pozder, Scott et al. | 2021
- 1399
-
Methods for Preparing Graphite Sheets with Piercing Treatment to Enhance Vertical Thermal ConductionLi, Yanfang / Li, Meng / Chen, Fen / Fan, Guangyu / Lee, Ning-Cheng et al. | 2021
- 1405
-
3D Finite Element Simulation Study of Chip Stacking Structure Considering Different Numbers of Stacked Dies and the Effects of Underfill and Intermetallic Compound Layer of Micro-jointsYang, Bing-Xian / Fei, Jiu-Bin / Liang, Shui-Bao / Zhou, Min-Bo / Hu, Wei-Lin / Huang, Hai-Jun / Zhang, Xin-Ping et al. | 2021
- 1412
-
Aluminum Pad Plasticity-Related Bump Failure During Temperature CyclingWang, Wei / He, Dongming / Rae, David et al. | 2021
- 1418
-
Design Considerations, Demonstration, and Benchmarking of Silicon Microcold Plate and Monolithic Microfluidic Cooling for 2.5D ICsRajan, Sreejith Kochupurackal / Kaul, Ankit / Sarvey, Thomas / May, Gary S. / Bakir, Muhannad S. et al. | 2021
- 1427
-
An Analytical Approach to Thermal Design for Manufacturing in Mini Wave SolderingSeidel, Reinhardt / Sippel, Marcel / Franke, Jorg et al. | 2021
- 1435
-
Study on an Artificial Intelligence Based Kernel Ridge Regression Algorithm for Wafer Level Package Reliability PredictionPanigrahy, Sunil Kumar / Chiang, Kuo-Ning et al. | 2021
- 1442
-
Artificial Neural Networks and Bayesian Techniques for Flip-Chip Package Thermo-Mechanical AnalysisSinha, Tuhin / Sikka, Kamal / Lall, Rahul et al. | 2021
- 1450
-
Development of Artificial Neural Network and Topology Reconstruction Schemes for Fan-Out Wafer Warpage AnalysisWu, Wen-Chun / Chen, Kuo-Shen / Chen, Tang-Yuan / Chen, Dao-Lung / Lee, Yu-Chin / Chen, Chia-Yu / Tarng, David et al. | 2021
- 1457
-
Prediction of thermal oxidation damage in polymers by using peridynamicsBehera, D. / Roy, P. / Madenci, E. / Oterkus, S. et al. | 2021
- 1464
-
Fluid Structure Interaction Modeling for Dynamic Wire SweepHuang, Shenghua / Liu, Yangming / Ye, Ning / Yang, Bo et al. | 2021
- 1469
-
Phase-field simulation of microstructure changes and crack propagation at Cu-Al wire bonding interface under high temperature circumstanceFunaya, Takuo / Koyama, Toshiyuki et al. | 2021
- 1475
-
A Development of Finite Element Analysis Model of 3DIC TSV Package Warpage Considering Cure Dependent Viscoelasticity with Heat GenerationHan, Min soo / Shin, Yongchul / Lim, Kyeongbin / Rhee, Daniel Min Woo et al. | 2021
- 1481
-
Microneedle Insertion into Visco-Hyperelastic Model for Skin for Healthcare ApplicationWidianto, Davira P. / Stewart, Benjamin G. / Mena-Lapaix, Juan L. / Shafer, Richard H. / Burns, Andrew / Prausnitz, Mark R. / Alizadeh, Azar / Sitaraman, Suresh K. et al. | 2021
- 1490
-
Flexible Encapsulation Process-Property Relationships for Flexible Hybrid ElectronicsLall, Pradeep / Choudhury, Padmanava / Narangaparambil, Jinesh / Miller, Scott et al. | 2021
- 1500
-
Warpage Estimation of Heterogeneous Panel-Level Fan-Out Package with Fine Line RDL and Extreme Thin Laminated Substrate Considering Molding CharacteristicsLee, Chang-Chun / Wang, Chi-Wei / Lee, Chia-Chi / Chen, Chin-Yi / Chen, Yu-Hua / Lee, Hung-Chih / Chou, Tsun-Sheng et al. | 2021
- 1505
-
Why Is It Still Difficult to Make Accurate Prediction of the Warpage after Advanced Molding Processes?Phansalkar, Sukrut / Kim, Changsu / Han, Bongtae et al. | 2021
- 1514
-
Investigation of the Factors Affecting the Warpage Prediction of Multi-Chip PackageRajmane, Pavan / Dhandapani, Karthikeyan / Schwarz, Mark / Syed, Ahmer et al. | 2021
- 1521
-
Copper Content Optimization for Warpage Minimization of Substrates with an Asymmetric Cross-Section by Genetic AlgorithmMori, Hioryuki / Kohara, Sayuri et al. | 2021
- 1527
-
Novel method of wafer-level and package-level process simulation for warpage optimization of 2.5D TSVLee, Su Chang / Han, Sun woo / Hong, Jong Pa / O, Sang kun / Kwak, Dong Ok / Nam, Soohyun / Park, Yukyung / Lee, Jong Ho et al. | 2021
- 1532
-
Nanomanufacturing of Smart and Connected Bioelectronics Through Nanomaterial Printing, Hybrid Material Integration, and Soft PackagingKim, Yun-Soung / Kwon, Young-Tae / Mahmood, Musa / Yeo, Woon-Hong et al. | 2021
- 1538
-
Assembly Development of a Highly Flexible and Biocompatible Optoelectronic Neural Stimulator for Implantable Retinal ProsthesisLiu, Yu-Hsin / Jing, Yi / Bosse, Brandon / Damle, Samir / Akinin, Abraham / Bauchner, Sue / Thacker, Hiren D. et al. | 2021
- 1544
-
Flexible heterogeneously integrated low form factor wireless multi-channel surface electromyography (sEMG) deviceAlam, A. / Molter, M. / Kapoor, A. / Gaonkar, B. / Benedict, S. / Macyszyn, L. / Joseph, M. S. / Iyer, S. S. et al. | 2021
- 1550
-
Wireless Photonic Sensors with Flex Fan-Out Packaged Devices and Enhanced Power TelemetrySoroushiani, Sepehr / Nguyen, Huy / Cercado, Carlos Riera / Abdal, Abdulhameed / Bolig, Christopher / Sayeed, Sk Yeahia Been / Bhardwaj, Shubhendu / Lin, Wei-Chiang / Raj, Pulugurtha Markondeya et al. | 2021
- 1557
-
Infant Pacifier with Passive Wireless Temperature SensorKotriwar, Yamini D. / Kumar, Deepak / Mondal, Saikat / Chahal, Premjeet et al. | 2021
- 1563
-
Proof of Concept: Glass-Membrane Based Differential Pressure SensorGlukhovskoy, Anatoly / Prediger, Maren S. / Schafer, Jennifer / Ambrosius, Norbert / Vogt, Aaron / Santos, Rafael / Ostholt, Roman / Wurz, Marc Christopher et al. | 2021
- 1571
-
High Reliable Nanofiller Reinforced Composite Based Flexible Heat Sink for Wearable Devices with Micromachining TechnologySun, Yunna / Ma, Chuangbei / Cai, Han / Zheng, Ruin / Zhang, Di / Wang, Yan / Ding, Guifu et al. | 2021
- 1577
-
Nb-Based Superconducting Silicon Interconnect Fabric for Cryogenic Computing ApplicationsYang, Yu-Tao / Hu, Chaowei / Zhang, Peng / Shakoorzadeh, Niloofar / Ren, Haoxiang / Ni, Ni / Wang, Kang L. / Iyer, Subramanian S. et al. | 2021
- 1583
-
Quantum Fiber Optic Interconnect for Quantum NetworksLee, Heng Loong / Pitwon, Richard et al. | 2021
- 1590
-
Numerical Study of Metal Ink Behavior on the Wettability Pattern for Conductive Line Inkjet-Printing with Lattice Boltzmann ApproachLee, Jung Shin / Cho, Jung Woo / Park, Sun Woo / Lee, Seungdon / Lee, Hyunjin / Rhee, Daniel Min Woo et al. | 2021
- 1596
-
Lidded Electronic Package with Boiling Enhancement FeaturesChuang, Jimmy / Yang, Jin / Shia, David / Li, Y L et al. | 2021
- 1602
-
Extreme High Aspect Ratio RDL Patterning with Low Temperature Curable Polyimide using Double Patterning TechnologyNakamura, Atsushi / Koizumi, Takanori / Sato, Naoki / Aoshima, Toshihide / Ogawa, Michihiro / Asakawa, Daisuke / Sakamoto, Yuki / Noguchi, Hitoshi et al. | 2021
- 1607
-
Simultaneous Transfer and Bonding (SITRAB) Process for Micro-LEDs Using Laser-Assisted Bonding with Compression (LABC) Process and SITRAB AdhesiveChoi, Kwang-Seong / Joo, Jiho / Eom, Yong-Sung / Choi, Gwang-Mun / Jang, Ki-seok / Lee, Chanmi / Moon, Seok Hwan / Yun, Ho-Gyeong / Choi, Ji-Hoon / Choi, Ji-Woong et al. | 2021
- 1614
-
Performance Analysis of Self Heated Multilayer Vertical Graphene Nanoribbon InterconnectsKumari, Bhawana / Kumar, Rahul / Sahoo, Manodipan / Sharma, Rohit et al. | 2021
- 1620
-
Fabrication of Flexible Ionic-Liquid Thin Film Battery Matrix on FlexTrate™ for Powering Wearable DevicesOuyang, Guangqi / Whang, Grace / MacInnis, Emily / Iyer, Subramanian S. et al. | 2021
- 1627
-
Reliability, solderability and electrical performance of high density ultra thin capacitors based on carbon nanofibersMarknas, Victor / Andersson, Rickard / Bylund, Maria / Li, Qi / Passalacqua, Elisa / Saleem, Amin M. / Desmaris, Vincent et al. | 2021
- 1633
-
Low Cost Grounding Integration for Surface Ion TrapLi, Hongyu / Seit, Wen Wei / Gilho, Hwang / Zhao, Peng / Tao, Jing. / Tan, Chuan Seng et al. | 2021
- 1638
-
Flexible and Ultra-Thin Glass Substrates for RF ApplicationsSivapurapu, Sridhar / Chen, Rui / Rehman, Mutee ur / Kanno, Kimiyuki / Kakutani, Takenori / Letz, Martin / Liu, Fuhan / Sitaraman, Suresh K. / Swaminathan, Madhavan et al. | 2021
- 1645
-
Analog Synaptic Behaviors in Carbon-Based Self-Selective RRAM for In-Memory Supervised LearningChen, Ying-Chen / Eshraghian, Jason K. / Shipley, Isaiah / Weiss, Maxwell et al. | 2021
- 1652
-
Application of Machine Learning in Recognition and Analysis of TSV Extrusion Profiles with Multiple MorphologyJalilvand, Golareh / Lindsay, Joseph / Reidy, Brendan / Shukla, Vishnu / Duggan, David / Zand, Ramtin / Jiang, Tengfei et al. | 2021
- 1660
-
Investigation of Copper and Glass Interaction in Through Glass Via (TGV) During Thermal CyclingPan, Ke / Xu, Jiefeng / Lai, Yangyang / Park, Seungbae / Okoro, Chukwudi / Joshi, Dhananjay / Pollard, Scott et al. | 2021
- 1667
-
Backside cavities for thermal tuning optimization of silicon ring resonatorsTissier, Pierre / Hassan, Karim / Reboud, Vincent / Velard, Remi / Grosse, Philippe / Bernabe, Stephane / Charbonnier, Jean / Thonnart, Yvain / Farcy, Alexis / Ponthenier, Fabienne et al. | 2021
- 1673
-
Low temperature hermetically sealed, optically transparent miniature packages: from medical to spaceJames, Rony Jose / Durante, Guido Spinola / Revol, Vincent / Marozau, Ivan / Krasnopolski, Krzysztof / Fretz, Mark / Mohrdiek, Stefan et al. | 2021
- 1682
-
Multiplexing Coupler Realized with Y-branched GI Core Polymer Optical Waveguide for Mode Division Multiplexing in a $50-\mu \mathrm{m}$ Multimode FiberHatai, Ryosuke / Ishigure, Takaaki et al. | 2021
- 1689
-
Highly reliable polymer waveguide platform for multi-port photonic chip-packagingFlory, Nikolaus / Halter, Markus / Strassle, Valentin / Betschon, Felix / Alexoudi, Theoni / Charalampos, Zervos / Lamprecht, Tobias et al. | 2021
- 1695
-
Selective Dielectric Deposition using a Dam Process for Millimeter Wave Circuit ApplicationsCrump, Cameron / Gjokaj, Vincens / Chahal, Premjeet et al. | 2021
- 1701
-
Characterizations for 25G/100G High Speed Fiber Optical Communication Applications with Hermetic eWLB (Embedded Wafer Level Ball Grid Array) TechnologyHsieh, Ming-Che / Bong, Yin-Yen / Huang, Li-Xia / Fang, Ching-Meng / Yong, Tack-Chee / Bai, Bryan / Wang, Tony / Yuan, Zhi-Lu / Li, Yun-Xia et al. | 2021
- 1707
-
Design and Simulation of mm-Wave Diplexer on Substrate and Fan-Out StructureHsieh, Yu-Chang / Lee, Pao-Nan / Wang, Chen-Chao et al. | 2021
- 1713
-
Heterogeneous Substrate and its Characterization for 5G mmWave Antenna in PackageChang, Wei-Tung / Hsu, Shao-En / Kao, Jen Chieh / Cho, Huei-Shyong / Lu, Shihwen / Yeh, Ye / Chang, Harrison et al. | 2021
- 1721
-
Additively Manufactured Mobile Device Lens Case for 5G Antenna GainHorn, Bryson / Yee, Steven / ElBidweihy, Hatem / Mechtel, Deborah et al. | 2021
- 1732
-
Low-profile Broadband Metasurface Antenna for 5G Antenna-in-package ApplicationWan, Weikang / Zheng, Yuxiang / Cao, Liqiang / Ye, Tianchun / Wang, Qidong et al. | 2021
- 1738
-
Impact of warpage on signal delivery with large size FC-PBGA packageLee, Heeseok / Hwang, Jisoo / Kwon, Henry H. / Pak, Junso et al. | 2021
- 1744
-
Reliability Testing by Mechanical and Electrical Characterization of Flexible and Stretchable Interconnect MaterialsNandy, Mayukh / Wu, Yanze / Houghton, Todd / Yu, Hongbin et al. | 2021
- 1749
-
Small Feature Size, Large Impact: How Advanced Packaging Will Reinvent Radar ManufacturingFarnum, Catherine / Rahim, Kaysar et al. | 2021
- 1754
-
Novel Characterization Method of Chip Level Hybrid Bonding StrengthKim, Juno / Lim, Kyeongbin / Hahn, Seung Ho / Lee, Mingu / Rhee, Daniel Min Woo et al. | 2021
- 1761
-
Electrochemical reliability of NTV sintered flexible substratesKlengel, Robert / Klengel, Sandy / Klute, Carola / Muhs-Portius, Bolko et al. | 2021
- 1767
-
In-situ Determination of Specimen Temperature during Electromigration Testing of Solder JointAbdelAziz, Mostafa / Mayer, Michael et al. | 2021
- 1773
-
Mechanical Characterization of Benzene cyclobutene (BCB) Used in Cu/polymer Hybrid BondingPhansalkar, Sukrut Prashant / Yang, Yu-Hsiang / Kim, Changsu / Han, Bongtae / Jee, Young Kun / Lee, Choong Seon / Kang, Un Byung / Lee, Jong Ho / Cheon, Sang et al. | 2021
- 1779
-
Reliability of Fan-Out Wafer Level Packaging For III-V RF Power MMICsTomas, Ariane / Marechal, Laurent / Almeida, Rodrigo / Neffati, Mehdy / Malbert, Nathalie / Fremont, Helene / Labat, Nathalie / Garnier, Arnaud et al. | 2021
- 1786
-
Ultrasonic Thick Wire Bonding Process Simulation and Validation for Silicon Carbide Power DevicesLiu, Pan / Li, Liangtao / Zeng, Zejun / Zhang, Guoqi / Liu, Pengfei / Zhang, Jon Qingchun / Zhang, Jing et al. | 2021
- 1791
-
Reliability of Printed Microwave ElectronicsNeermann, Simone / Franke, Joerg / Sippel, Mark / Lomakin, Konstantin / Gold, Gerald et al. | 2021
- 1797
-
Effect of Bismuth Content on the Mechanical Cyclic Properties of SAC+Bi Lead Free SoldersHaq, Mohammad Ashraful / Hoque, Mohd Aminul / Suhling, Jeffrey C. / Lall, Pradeep et al. | 2021
- 1805
-
Impact of System-in-Package in side-by-side discrete SoC-DRAM configurations on SI, PI and thermal performanceKim, Goeun / Lim, Doohee / Lee, Jongmin / Chang, Insik / Pak, Jun So / Cho, Youngsang / Im, Yunhyeok et al. | 2021
- 1812
-
5G Antenna in Module (AiM) Architecture to Realize a Large Active Antenna Array with Unequal Shortest Microstrip Paths to Minimize Feeding Loss by Using True-delay Line-based Phase Shifters at Millimeter-Wave FrequenciesLin, Zhao-He / Chou, Hsi-Tseng / Shen, Pin-Zhong / Lin, Ding-Bing / Yang, Chao-Shun / Chou, Chieh-Wei / Pan, Chi-Liang / Lin, Chun-Te / Lin, Ji-Cheng / Fang, Li-Chih et al. | 2021
- 1819
-
Millimeter-Wave Circuits Using 3D Printed Suspended Lines Technology For Automotive ApplicationsKaur, Amanpreet / Kotriwar, Yamini / Chu, Yihang / Karuppuswami, Saranraj / Chahal, Premjeet et al. | 2021
- 1825
-
Enhancing On-die PDN for Optimal Use of Package PDN with Decoupling CapacitorHwang, Jisoo / Pak, Jun So / Yoon, Dooseok / Lee, Heeseok / Jeong, James / Heo, Yun / Kim, Ilryong et al. | 2021
- 1831
-
Feature Selective Validation (FSV) Application to S-Parameter Models DirectlyChen, Zhaoqing et al. | 2021
- 1838
-
Impact of DBI Feature on Peak Distortion Analysis of LPDDR5 at 6400MbpsGupta, Ashish / Chopra, Anant et al. | 2021
- 1844
-
Chip/Package Co-Design Analysis of Advanced D2D Interface Using a Statistical Link SimulatorPark, Sangwook / An, Heewoo / Jeon, Seonghwan / Kim, Gyoungbum / Oh, Dan Kyung Suk et al. | 2021
- 1850
-
AiP Component and Board Level Heat dissipation Analysis for Automotive RadarHan, Yong / Chai, Tai Chong / Lim, Sharon Seow Huang et al. | 2021
- 1858
-
The Impact of Ageing on the Dielectric Properties of Laminates for 79 GHz Automotive RadarKoszegi, Julia-Marie / Rossi, Marco / Wittler, Olaf / Walter, Hans / Schwanitz, Oliver / Ndip, Ivan / Lang, Klaus-Dieter / Schneider-Ramelow, Martin et al. | 2021
- 1864
-
Millimeter Wave Imaging Array Using a Chip First Additive Manufacturing ProcessChu, Yihang / Crump, Cameron / Spain, Wesley / Chahal, Premjeet et al. | 2021
- 1870
-
Process optimization of micro bump pitch design in 3-dimensional package structureKim, Sun Jae / Kim, Hyoeun / Hong, Jongpa / Kwon, Ohguk / Lee, Hyoungjoo et al. | 2021
- 1876
-
Design Benefits of Hybrid Bonding for 3D IntegrationNigussie, Theodros / Pan, Tse-Han / Lipa, Steve / Pitts, W. Shepherd / DeLaCruz, Javi / Franzon, Paul et al. | 2021
- 1882
-
Improvement of Align-key Recognition Precision by Development of Auto-focus AlgorithmJo, Gwanghee / Seok, Seungdae / Shim, Donggil / Kim, Joonyoung et al. | 2021
- 1888
-
Effect of crystal anisotropy and IMCs on electromigration resistivity of low temperature flip chip interconnectMurayama, Kei / Aizawa, Mitsuhiro / Oi, Kiyoshi et al. | 2021
- 1894
-
Cu Recrystallization and the Formation of Epitaxial and Non-Epitaxial Cu/Cu/Cu Interfaces in Stacked Blind Micro Via StructuresBernhard, T. / Dieter, S. / Massey, R. / Kempa, S. / Steinhauser, E. / Bruning, F. et al. | 2021
- 1904
-
Development of Cu Seed Layers in Ultra-High Aspect Ratio Through-Silicon-Vias (TSVs) with Small DiametersZhang, Ziyue / Ding, Yingtao / Xiao, Lei / Cai, Ziru / Yang, Baoyan / Wu, Zhaohu / Su, Yuwen / Chen, Zhiming et al. | 2021
- 1910
-
Advances in Dry Etch Processing for High-Density Vertical Interconnects in Fan-Out Panel-Level Packaging and IC SubstratesSchein, Friedrich-Leonhard / Elghazzali, Mohammed / Voigt, Christian / Tsigaras, Ioannis / Sawamoto, Hirofumi / Strolz, Ewald / Rettenmeier, Roland / Bottcher, Lars et al. | 2021
- 1916
-
Laser Lift Off and Multi Dies Collective Bonding for Inorganic $\mu \text{LED}$ with the Newly Developed MaterialNishida, Masataka / Honda, Kazutaka / Noma, Hirokazu / Suzuki, Naoya et al. | 2021
- 1922
-
Towards Copper-Copper Direct Bonding: Controlled Crystal Growth of Copper Deposits for Minimization of Interface Formation During BondingSchmidt, Ralf / Schwarz, Christian / Kirbach, Uwe / Jager, Cornelia et al. | 2021
- 1928
-
Study and Application of Nano Copper Sintering Technology in Power Electronics PackagingLiu, Xu / Zhou, Quan / Zhao, Xu / Koh, Sau Wee / Ye, Huaiyu / Zhang, Guoqi et al. | 2021
- 1933
-
Degradation of Silver Nanowire Transparent Conductors by Module-level Weathering under Electrical StressLin, Chiao-Chi / Chang, Hung-Shuo et al. | 2021
- 1939
-
Multi-layered package substrate manufactured by reel-to-reel processesPark, Jongwoo / Jung, Myungki / Kim, Yongnam / Kwon, Soon-Chul / Lee, Sangmin / Kim, Daewook / Byun, Jungsoo et al. | 2021
- 1945
-
Copper-Graphene Foams: A New High-Performance Material System for Advanced Package-Integrated Cooling TechnologiesWong, Ryan / Antoniou, Antonia / Smet, Vanessa et al. | 2021
- 1950
-
Thermal and electrical reliability analysis of TO-247 for bonding method, substrate structure and heat dissipation bonding materialKim, Dong-Hwan / Oh, Ae-Sun / Park, Eun-Young / Kim, Kyung-Hyun / Jeon, Sung-Jae / Bae, Hyun-Cheol et al. | 2021
- 1957
-
Effects of the citrate-coated nanosized Ag pastes on joining reliable Cu-Cu joints for Current 3D ICsZhang, Shuye / Duan, Xiaokang / Li, Zhenfeng / Xu, Jiaohao / Wang, Dayin / Zhang, Shang / He, Peng / Paik, Kyung-Wook et al. | 2021
- 1963
-
Study of i-Line Photosensitive Materials with a Wide Depth of Focus for Fine Pitch Redistribution LayersYukimori, Daiki / Kunito, Mei / Ishikawa, Nobuhiro / Sekiguchi, Atsushi / Ogata, Toshiyuki et al. | 2021
- 1971
-
Assembly Process and Application Studies of Pre-Applied Underfill Non-Conductive Film (NCF) and Non-Conductive Paste (NCP) for Advanced PackagesChowdhury, Promod R. / Guino, Rose / Shim, Kail / Lindsey, Kevin / Bai, Jie / Hoang, Gina / Trichur, Ramachandran K. et al. | 2021
- 1978
-
A comparison study of TIM degradation of phase change material and thermal greaseYang, Junbo / Lai, Yangyang / Pan, Ke / Xu, Jiefeng / Mikjaniec, Travis / Cain, Stephen / Park, Seungbae et al. | 2021
- 1984
-
Addressing Warpage Issue and Reliability Challenge of Fan-out Wafer-Level Packaging (FOWLP)Zhang, Xiaowu / Lau, Boon Long / Han, Yong / Chen, Haoran / Jong, Ming Chinq / Lim, Sharon Pei Siang / Lim, Simon Siak Boon / Wang, Xiaobai / Andriani, Yosephine / Liu, Songlin et al. | 2021
- 1991
-
High Thermal, Non-Electrically Conductive Automotive Grade Die Attach Paste: A Study to Evaluate the Impact of Filler TechnologyHong, Xuan / Kang, Jaeik / Zhuo, Qizhuo / Sanchez, Juliet / Yun, Howard / Deng, Jihong / Peddi, Raj et al. | 2021
- 1998
-
The Extremely Large 2.5D Molded Interposer on Substrate (MIoS) Package Integration - Warpage and ReliabilityNam, Soohyun / Kim, Younglyong / Jang, Aeni / Hwang, Inhyo / Park, Sungwoo / Lee, Su-Chang / Kim, Dae-Woo et al. | 2021
- 2003
-
Comprehensive Characterization of Warpage and Fatigue Performance of Fan-out Wafer Level Package by Taking into Account the Viscoelastic Behavior of EMC and the Dielectric LayerHu, Wei-Lin / Fei, Jiu-Bin / Zhou, Min-Bo / Yang, Bin-Xian / Zhang, Xin-Ping et al. | 2021
- 2009
-
Development of a Scalable AiP Module for mmWave 5G MIMO Applications Based on a Double Molded FOWLP ApproachBraun, Tanja / Le, Thi Huyen / Rossi, Marco / Ndip, Ivan / Holck, Ole / Becker, Karl-Friedrich / Bottcher, Mathias / Schiffer, Michael / Aschenbrenner, Rolf / Muller, Friedrich et al. | 2021
- 2016
-
System in package embedding III-V chips by fan-out wafer-level packaging for RF applicationsGarnier, Arnaud / Castagne, Laetitia / Greco, Florent / Guillemet, Thomas / Marechal, Laurent / Neffati, Mehdy / Franiatte, Remi / Coudrain, Perceval / Piotrowicz, Stephane / Simon, Gilles et al. | 2021
- 2024
-
Surface Activated Bonding of Glass Wafers using Oxide Intermediate LayerTakeuchi, Kai / Mu, Fengwen / Matsumoto, Yoshiie / Suga, Tadatomo et al. | 2021
- 2030
-
Electrical Performances of Fan-Out Embedded BridgeYou, JinWei / Li, Jay / Ho, David / Li, Jackson / Zhuang, Ming Han / Lai, David / Chung, C. Key / Wang, Yu-Po et al. | 2021
- 2035
-
Fine Pitch Line/Space Lithography for Large Area Package with Multi-Field StitchingHo, Soon Wee / Yen, Norman / McCold, Cliff / Hsieh, Robert / Nguyen, Ha-Ai / Hsu, Hank et al. | 2021
- 2043
-
Lamination of dry film epoxy molding compounds for 3D packaging: advances and challengesArgoud, Maxime / Eleouet, Raphael / Dechamp, Jerome / Allouti, Nacima / Pain, Laurent / Tiron, Raluca / Mori, Daisuke / Asahara, Masahiro / Oi, Yosuke / Kan, Katsushi et al. | 2021
- 2049
-
The AFO Packaging TechnologyHo, Chung W. et al. | 2021
- 2058
-
High-Density Small Form-Factor Package with Polygon-Shaped Capacitor Based on Silicon TechnologyKim, JungHwa / Jeong, James / Choi, HeeJung / Hwang, Jisoo / Pak, Jun So / Lee, Heeseok et al. | 2021
- 2064
-
Demonstration of a collective hybrid die-to-wafer integration using glass carrierSuhard, Samuel / Kennes, Koen / Bex, Pieter / Jourdain, Anne / Teugels, Lieve / Walsby, Edward / Bolton, Chris / Patel, Jash / Ashraf, Huma / Barnett, Richard et al. | 2021
- 2071
-
Die to Wafer Hybrid Bonding and Fine Pitch ConsiderationsWorkman, Thomas / Mirkarimi, Laura / Theil, Jeremy / Fountain, Gill / Bang, KM / Lee, Bongsub / Uzoh, Cyprian / Suwito, Dominik / Gao, Guilian / Mrozek, Pawel et al. | 2021
- 2082
-
Development of Combined Cooler with Additively Manufactured Planar MagneticsYun, He / Yuruker, Sevket / Mandel, Raphael / Buxbaum, Clifton / McCluskey, F. Patrick / Hinojosa, Miguel et al. | 2021
- 2089
-
A study about 3D stacking of passive SMD elements for advanced SMT packaging using laser assisted bondingFettke, Matthias / Kubsch, Timo / Frick, Alexander / Bejugam, Vinith / Friedrich, Georg / Teutsch, Thorsten et al. | 2021
- 2097
-
Characterization of bonding activation sequences to enable ultra-low Cu/SiCN wafer level hybrid bondingIacovo, Serena / Peng, Lan / Nagano, Fuya / Uhrmann, Thomas / Burggraf, Jurgen / Fehkuhrer, Andreas / Conard, Thierry / Inoue, Fumihiro / Kim, Soon-Wook / De Vos, Joeri et al. | 2021
- 2105
-
Study of Submicron Panel-Level Packaging in Mass-ProductionShinoda, Ken-ichiro / Shelton, Douglas / Suda, Hiromi / Goto, Yoshio / Urushihara, Kosuke / Mori, Ken-Ichiro et al. | 2021
- 2111
-
Investigation of Low Stress and Low Temperature SiN and SiCN PVD Films for Advanced Packaging ApplicationsXavier, F. Brun / Patrick, Carazetti / Ewald, Strolz et al. | 2021
- 2118
-
Effect of Pneumatic Curing on Cycle Time Reduction and Void Suppression of Polyimide Wafer CoatingSu, Huan-Ping / Tsou, Cheng-Che / Horng, Auger et al. | 2021
- 2126
-
Acoustic modulation during laser debonding of collective hybrid bonded diesKennes, Koen / Phommahaxay, Alain / Guerrero, Alice / Bumueller, Dennis / Suhard, Samuel / Bex, Pieter / Tussing, Sebastian / Liu, Xiao / Beyer, Gerald / Beyne, Eric et al. | 2021
- 2134
-
Warpage of Compression Molded SiP StripsOuyang, Eric / Jeong, Yonghyuk / Kim, JaeMyong / Kim, JaePil / Kwon, OhYoung / Liu, Michael / Lin, Susan / Wang, Jenn An / Yang, Anthony / Yang, Eric et al. | 2021
- 2140
-
High performance FCBGA Package Evaluation and Characterization for the Networking ApplicationLin, Vito / Kao, Nicholas / Lai, David / Wang, Yu-Po et al. | 2021
- 2145
-
Shipping Container Design Improvement Analysis for Drop/Shock LoadingYin, Pengcheng / Park, Seungbae / Pandiarajan, Ganesh et al. | 2021
- 2151
-
Multi-objectives design optimization based on multi-objectives Gaussian processes for System-in-PackageDai, Weijing / Wang, Zhenkun / Xue, Ke et al. | 2021
- 2158
-
Effect of latching force on socketed BGA packages with Ni-Au coated solder spheresGupte, Omkar / Murtagian, Gregorio / Tummala, Rao / Smet, Vanessa et al. | 2021
- 2165
-
Process Dependent Material Characterization for Warpage Control of Fan-Out Wafer Level PackagingWittler, Olaf / van Dijk, Marius / Huber, Saskia / Walter, Hans / Schneider-Ramelow, Martin et al. | 2021
- 2171
-
Multiscale Modeling on the Enhanced Heat Transfer Behavior of Thermal Interface Materials Based on GrapheneWang, Yu et al. | 2021
- 2178
-
Evaluation of bonding characteristics of thermal compression bonded solder joints via nanoindentation testYou, Hungsuk / Min, Kyung Deuk / Lee, Choong-Jae / Jang, Jun-Ho / Kang, Dong-Gil / Jung, Seung-Boo et al. | 2021
- 2184
-
Characterization of Pressure-less Ag-Sinter using Innovative Sample Preparation ApproachMurali, Sarangapani / Evonne, Lim Yee Weon / Yuan, Chieng Yu / Wan, Lo Miew / Sungsig, SS Kang / Dennis, Ang Kwang Leong et al. | 2021
- 2189
-
3D Heterogeneous Integration Strategy for Physically Flexible CMOS Electronic SystemsShaikh, Sohail Faizan / El-Atab, Nazek / Hussain, Muhammad Mustafa et al. | 2021
- 2196
-
Automated Attribute Measurements of Buried Package Features in 3D X-ray Images using Deep LearningPahwa, Ramanpreet Singh / Lay Nwe, Ma Tin / Chang, Richard / Min, Oo Zaw / Jie, Wang / Gopalakrishnan, Saisubramaniam / Soon Wee, David Ho / Qin, Ren / Rao, Vempati Srinivasa / Dai, Haiwen et al. | 2021
- 2205
-
An Automated Optical Inspection System for PIP Solder Joint Classification Using Convolutional Neural NetworksSchmidt, K. / Rauchensteiner, D. / Voigt, C. / Thielen, N. / Bonig, J. / Beitinger, G. / Franke, J. et al. | 2021
- 2211
-
A passive water transfer/retention system for long term functionality of an on-site sensing deviceChen, Yu / Chen, Weiguo / Lim, Ruiqi / Cheng, Ming-Yuan et al. | 2021
- 2216
-
Heterogeneous Integration with Embedded Fine InterconnectChong, Chai Tai / Guan, Lim Teck / Ho, David / Yong, Han / Choong, Chong Ser / Pei Siang, Sharon Lim / Bhattacharya, Surya et al. | 2021
- 2222
-
Enabling low loss thin glass solutions for 5G / mmWave applicationsLevy, David H. / Nelson, Shelby F. / Shorey, Aric B. / Balentine, Paul et al. | 2021
- 2229
-
Flip chip interconnects based on carbon nanofibers-solder compositesPassalacqua, E. / Laprais, C. / Bylund, M. / Li, Q. / Marknas, V. / Andersson, R. / Saleem, Amin M. / Desmaris, V. et al. | 2021
- 2235
-
Terahertz Based Machine Learning Approach to Integrated Circuit AssuranceTrue, John / Xi, Chengjie / Jessurun, Nathan / Ahi, Kiarash / Tehranipoor, Mark / Asadizanjani, Navid et al. | 2021
- 2246
-
Novel Approach to Highly Robust Fine Pitch RDL ProcessChoi, Juil / Jin, Jeongi / Kang, Gyuho / Hwang, Hyunsu / Kim, Byungchan / Yun, Hyojin / Park, Jumyong / Lee, Chungsun / Kang, Un-Byoung / Lee, Jongho et al. | 2021
- 2252
-
Solving Power Integrity Challenges for Smart Computing EraLee, Manho / Song, Eunseok / Kim, Gyoungbum / Oh, Dan Kyung Suk et al. | 2021
- 2258
-
A novel degradation model for LED reliability assessment with accelerated stress and self-heating considerationTruong, Minh-Tuan / Mendizabal, Laurent / Do, Phuc / Iung, Benoit et al. | 2021
- 2266
-
A Flexible Power Module for Wearable Medical Devices with Wireless Recharging using Corrugated Flexible CoilsOuyang, Guangqi / Ezhilarasu, Goutham / Sun, Henry / Ren, Haoxiang / Yang, Yu-Tao / Iyer, Subramanian S. et al. | 2021
- 2272
-
Transmission Lines on Alumina Ribbon Ceramic Substrate Material for 30 to 170 GHz Wireless ApplicationsAslani-Amoli, Nahid / Ur Rehman, Mutee / Sivapurapu, Sridhar / Liu, Fuhan / Swaminathan, Madhavan / Zhuang, Cheng-Gang / Zhelev, Nikolay Z. / Seok, Seong-ho / Kim, Cheolbok et al. | 2021
- 2279
-
3D silicon photonic interconnects and integrated circuits based on phase matchingBian, Yusheng / Jacob, Ajey / Rakowski, Michal / Sporer, Ryan / Hirokawa, Takako / Lee, Won Suk / Chowdhury, Asif / Thomas, Abu / Peng, Bo / Aboketaf, Abdelsalam et al. | 2021
- 2285
-
Screen-printed Water-soluble Resistors for Wearable Electronics: An Analysis of the Fabrication ProcessSomarathna, K. U. S. / Garakani, B. / Weerawarne, D. L. / Khinda, G. S. / Burns, A. / Alizadeh, A. / Poliks, M. D. et al. | 2021
- 2293
-
Early microstructural indicators of crack initiation in lead-free solder joints under thermal cyclingBen Romdhane, E. / Roumanille, P. / Guedon-Gracia, A. / Pin, S. / Nguyen, P. / Fremont, H. et al. | 2021
- 2302
-
Prognostic Detection of Electromigration Void Failure in Buried Metal Interconnect using Piezoresistive SensorsLaor, Ari David / Nairn, David et al. | 2021
- 2308
-
Aerosol Jet 3D-Printed Compact EBG ResonatorsKonstantinou, Xenofon / Craton, Michael Thomas / Albrecht, John D. / Papapolymerou, John et al. | 2021
- 2314
-
Microstructural evolution and mechanical properties of SAC305 with the intense pulsed light soldering process under high-temperature storage testJang, Jun-Ho / Lee, Choong-Jae / Hwang, Byeong-Uk / Min, Kyung Deuk / Kim, Jae-Ha / Jung, Seung-Boo et al. | 2021
- 2320
-
Comparison of Global Optimization Algorithms for Inverse Design of Substrate Metal Density for Low Warpage Design in Ultra-Thin PackagesSelvanayagam, Cheryl / Duong, Pham Luu Trung / Wilkerson, Brett / Raghavan, Nagarajan et al. | 2021
- 2328
-
Characterization of Chip-to-Package Interconnects for Glass Panel Embedding (GPE) for Sub-THz Wireless CommunicationsErdogan, Serhat / Ravichandran, Siddharth / Jia, Xiaofan / Swaminathan, Madhavan et al. | 2021
- 2334
-
Relationship Between the Grain Orientation and the Electromigration Reliability of Electronic Packaging InterconnectsKim, Yi Ram / Madanipour, Hossein / Osmanson, Allison T. / Tajedini, Mohsen / Kim, Choong-Un / Thompson, Patrick F. / Chen, Qiao et al. | 2021
- 2340
-
Mechanical Behavior and Microstructure Evolution in Lead Free Solders Subjected to Mechanical Cycling at Elevated TemperaturesHoque, Mohd Aminul / Haq, Mohammad Ashraful / Suhling, Jeffrey C. / Lall, Pradeep et al. | 2021
- 2348
-
Investigation of Aromatic Voltage Stabilizers for Enhancing High Voltage Stability of Epoxy for Power ElectronicsLi, Jiaxiong / Mohanalingam, Kathaperumal / Gupte, Omkar / Sun, Zhijian / Moon, Kyoung-sik / Wong, Ching-ping et al. | 2021
- 2355
-
Author Index| 2021
- lxix
-
Welcome from the ECTC Sponsoring Organization| 2021
- lxvi
-
Foreword| 2021
- lxx
-
ECTC 2021 Executive Committee| 2021
- lxxii
-
ECTC 2021 Technical Program Committee| 2021
- lxxxix
-
ECTC 2021 Keynote and Special Sessions [Front matter]| 2021
- v
-
Table of Contents| 2021
- xcix
-
Major Non-IEEE Sponsors of ECTC 2021| 2021
- xcv
-
IEEE Electronic Packaging Society Major Awards| 2021