Very fast programmable CNN based on FG-inverter (English)
- New search for: Molinar-Solis, J.K.
- New search for: Gomez-Castenada, F.
- New search for: Moreno-Cadenas, J.A.
- New search for: Ponce-Ponce, V.H.
- New search for: Molinar-Solis, J.K.
- New search for: Gomez-Castenada, F.
- New search for: Moreno-Cadenas, J.A.
- New search for: Ponce-Ponce, V.H.
In:
2006 IEEE International Symposium on Circuits and Systems
;
4 pp.
;
2006
-
ISBN:
- Conference paper / Electronic Resource
-
Title:Very fast programmable CNN based on FG-inverter
-
Contributors:Molinar-Solis, J.K. ( author ) / Gomez-Castenada, F. ( author ) / Moreno-Cadenas, J.A. ( author ) / Ponce-Ponce, V.H. ( author )
-
Published in:
-
Publisher:
- New search for: IEEE
-
Publication date:2006-01-01
-
Size:4052909 byte
-
ISBN:
-
DOI:
-
Type of media:Conference paper
-
Type of material:Electronic Resource
-
Language:English
-
Source:
Table of contents conference proceedings
The tables of contents are generated automatically and are based on the data records of the individual contributions available in the index of the TIB portal. The display of the Tables of Contents may therefore be incomplete.
- 1
-
Breaker pages| 2006
- 1
-
Advertisements| 2006
- 3 pp.
-
Optimal distance estimation for the spectral efficiency of an hybrid cellular DS/SFH CDMA systemVarzakas, P. et al. | 2006
- 4 pp.
-
Implementation of a high-speed low-power 32-bit adder in 70nm technologyKashfi, F. / Mehdi Fakhraie, S. et al. | 2006
- 4 pp.
-
A CMOS transconductor with 90 dB SFDR and low sensitivity to mismatchAcosta, L. / Carvajal, R.G. / Jimenez, M. / Ramirez-Angulo, J. / Loper-Martin, A. et al. | 2006
- 4 pp.
-
A modified particle swarm optimization algorithm for adaptive filteringKrusienski, D.J. / Jenkins, W.K. et al. | 2006
- 4 pp.
-
System aspects of a bionic eyeglassRoska, T. / Balya, D. / Lazar, A. / Karacs, K. / Wagner, R. / Szuhaj, M. et al. | 2006
- 4 pp.
-
Sub-optimum operation of class E amplifier with nonlinear shunt capacitance at any duty cycleSuetsugu, T. / Kazimierczuk, M. et al. | 2006
- 4 pp.
-
A new dual-field elliptic curve cryptography processorYongyi Wu, / Xiaoyang Zeng, et al. | 2006
- 4 pp.
-
Movement recognition and strain lecture algorithm for fracture monitoring systemMorales-Ramos, R. / Sosa, J. / Montiel-Nelson, J.A. / Zwick, A. / Nguyen, X.P. et al. | 2006
- 4 pp.
-
Dielectric absorption of low-k materials: extraction, modelling and influence on SAR ADCsKropfitsch, M. / Riess, P. / Knoblinger, G. / Draxelmayr, D. et al. | 2006
- 4 pp.
-
An algorithm for optimal terms allocation for fixed point coefficients of FIR filterIzydorczyk, J. et al. | 2006
- 4 pp.
-
CMOS variable-gain wide-bandwidth CMFB-free differential current feedback amplifier for ultrasound diagnostic applicationsHio Leong Chao, / Dongsheng Ma, et al. | 2006
- 4 pp.
-
Fully integrated sub-microWatt CMOS ultra wideband pulse-based transmitter for wireless sensors networksTsang, T.K.K. / El-Gamal, M.N. et al. | 2006
- 4 pp.
-
Power systems as dynamic networksHill, D.J. / Guanrong Chen, et al. | 2006
- 4 pp.
-
A result on global convergence in finite time for nonsmooth neural networksForti, M. / Grazzini, M. / Nistri, P. / Pancioni, L. et al. | 2006
- 4 pp.
-
Low-latency, HDL-synthesizable dynamic clock frequency controller with self-referenced hybrid clockingSenger, R.M. / Marsman, E.D. / Carichner, G.A. / Kubba, S. / McCorquodale, M.S. / Brown, R.B. et al. | 2006
- 4 pp.
-
Compressed symmetric tables for accurate function approximation of reciprocalsStine, J.E. / Naresh, N. et al. | 2006
- 4 pp.
-
Approximation of elementary functions using a weighted sum of bit-productsJohansson, K. / Gustafsson, O. / Wanhammar, L. et al. | 2006
- 4 pp.
-
An open-source based DSP with enhanced multimedia-processing capacity for embedded applicationsSongping Mai, / Kun Yang, / Wenli Lan, / Chun Zhang, / Zhihua Wang, et al. | 2006
- 4 pp.
-
An energy-efficient ternary interconnection link for asynchronous systemsPhilippe, J.-M. / Kinvi-Boh, E. / Pillement, S. / Sentieys, O. et al. | 2006
- 4 pp.
-
A 10-MHz channel-select filter for a multicarrier WCDMA base-stationSaari, V. / Ryynanen, J. / Mustola, J. / Halonen, K. / Jussila, J. et al. | 2006
- 4 pp.
-
Improved reversible integer transformSoo-Chang Pei, / Jian-Jiun Ding, et al. | 2006
- 4 pp.
-
An efficient algorithm for the computation of the reverse jacket transformBouguezel, S. / Ahmad, M.O. / Swamy, M.N.S. et al. | 2006
- 4 pp.
-
A wideband power-efficient inductive wireless link for implantable microelectronic devices using multiple carriersAtluri, S. / Ghovanloo, M. et al. | 2006
- 4 pp.
-
Chaotic p-ary sequences with exponential auto-correlation properties based on piecewise linear mapsTsuneda, A. et al. | 2006
- 4 pp.
-
Phase sampling: a new approach to the design of LF direct digital frequency synthesizersPedroni, V.A. et al. | 2006
- 4 pp.
-
Measuring harmonics by an improved FFT-based algorithm with considering frequency variationsChang, G.W. / Cheng-Yi Chen, / Meng-Chi Wu, et al. | 2006
- 4 pp.
-
Spike timing dependent adaptation for mismatch compensationCameron, K. / Murray, A. / Collins, S. et al. | 2006
- 4 pp.
-
A sequence independent power-on-reset circuit for multi-voltage systemsKhan, Q.A. / Siddhartha, G.K. et al. | 2006
- 4 pp.
-
Wide-band CMOS low noise amplifier for applications in radio astronomyBelostotski, L. / Haslett, J.W. / Veidt, B. et al. | 2006
- 4 pp.
-
Modeling and analysis of PSRR in analog PWM class D amplifiersTong Ge, / Chang, J.S. / Wei Shu, et al. | 2006
- 4 pp.
-
Multilevel flash memory on-chip error correction based on trellis coded modulationFei Sun, / Devarajan, S. / Rose, K. / Zhang, T. et al. | 2006
- 4 pp.
-
Hierarchical exploration and selection of transistor-topologies for analog circuit designXiaoying Wang, / Hedrich, L. et al. | 2006
- 4 pp.
-
12-bit non-calibrating noise-immune redundant SAR ADC for system-on-a-chipShrivastava, A. et al. | 2006
- 4 pp.
-
A frequency domain based TEQ design for DSL systemsYuan-Pei Lin, / Yu-Pin Lin, / See-May Phoong, et al. | 2006
- 4 pp.
-
Wavelet-based spatially adaptive method for despeckling SAR imagesBhuiyan, M.I.H. / Omair Ahmad, M. / Swamy, M.N.S. et al. | 2006
- 4 pp.
-
Efficient passive transmission line macromodeling algorithm using method of characteristicsPothiwala, V.A. / Dounavis, A. et al. | 2006
- 4 pp.
-
Floorplan-aware decoupling capacitance budgeting on equivalent circuit modelJin-Tai Yan, / Kai-Ping Lin, / Yue-Fong Luo, et al. | 2006
- 4 pp.
-
A power-efficient architecture for EBCOT tier-1 in JPEG 2000Yijun Li, / Bayoumi, M. et al. | 2006
- 4 pp.
-
Design of Mth-band FIR filters based on generalized polyphase structureChao Wu, / Wei-Ping Zhu, / Swamy, M.N.S. et al. | 2006
- 4 pp.
-
Effective capacitance of RLC loads for estimating short-circuit powerGuoqing Chen, / Friedman, E.G. et al. | 2006
- 4 pp.
-
Adaptive multimedia access: from user needs to semantic personalisationEvans, A. / Fernandez, M. / Vallet, D. / Castells, P. et al. | 2006
- 4 pp.
-
Real-time seizure monitoring and spectral analysis microsystemAziz, J.N.Y. / Karakiewicz, R. / Genov, R. / Bardakjian, B.L. / Derchansky, M. / Carlen, P.L. et al. | 2006
- 4 pp.
-
Symbolic analysis of bifurcations in planar variable structure systemsMoreno, U.F. / Castelan, E.B. / de Pieri, E.R. et al. | 2006
- 4 pp.
-
Modelling and analysis of multicell converters using discrete time modelsEl Aroudi, A. / Robert, B. / Martinez-Salamero, L. et al. | 2006
- 4 pp.
-
Optimization for packet routing using chaotic dynamicsKimura, T. / Ikeguchi, T. et al. | 2006
- 4 pp.
-
A second generation time-to-first-spike pixel with asynchronous self power-offChen Shoushun, / Bermak, A. et al. | 2006
- 4 pp.
-
A configurable VLSI chip for DC motor control for compact, low-current robotic systemsEkekwe, N. / Etienne-Cummings, R. / Kazanzides, P. et al. | 2006
- 4 pp.
-
Design of customized functional units for the VLIW-based multi-threading processor core targeted at multimedia applicationsJui-Chin Chu, / Chih-Wen Huang, / He-Chun Chen, / Keng-Po Lu, / Ming-Shuan Lee, / Jiun-In Guo, / Tien-Fu Chen, et al. | 2006
- 4 pp.
-
Synthesis of a current source using a formal design methodologyPappu, A.M. / Apsel, A.B. et al. | 2006
- 4 pp.
-
Formulas to generate efficient piecewise-polynomial implementations of narrowband linear-phase FIR filtersLehto, R. / Saramaki, T. / Vainio, O. et al. | 2006
- 4 pp.
-
Design and integration of a remotely programmable dental monitoring deviceVan Ham, J. / Claes, W. / De Cooman, M. / Puers, R. / Naertcu, I. / Van Lierde, C. / Beckers, L. et al. | 2006
- 4 pp.
-
Discontinuity-induced bifurcations in TCP/RED communication algorithmsMingjian Liu, / Marciello, A. / di Bernardo, M. / Trajkovic, L. et al. | 2006
- 4 pp.
-
Pattern emergence in strange attractors by directions of mappingsKumano, T. / Ueta, T. / Kawakami, H. et al. | 2006
- 4 pp.
-
Automatic substrate switching circuit for on-chip adaptive power supply systemDongsheng Ma, et al. | 2006
- 4 pp.
-
Neural network stream processing core (NnSP) for embedded systemsEsmaeilzadeh, H. / Saeedi, P. / Araabi, B.N. / Lucas, C. / Fakhraie, S.M. et al. | 2006
- 4 pp.
-
A linear transconductor and its application in an analog filter in 120nm CMOSKolm, R. / Zimmermann, H. et al. | 2006
- 4 pp.
-
A 0.5 V fully differential gate-input operational transconductance amplifier with intrinsic common-mode rejectionAbdulai, M. / Kinget, P. et al. | 2006
- 4 pp.
-
Resource constrained modulo scheduling for coarse-grained reconfigurable arraysDimitroulakos, G. / Galanis, M.D. / Goutis, C.E. et al. | 2006
- 4 pp.
-
Elliptic curves cryptosystem implementation based on a look-up table sharing schemeSining Liu, / Bowen, F. / King, B. / Wei Wang, et al. | 2006
- 4 pp.
-
Implementation aspects of the DPA-resistant logic style MDPLPopp, T. / Mangard, S. et al. | 2006
- 4 pp.
-
Effective tunneling capacitance: a new metric to quantify transient gate leakage currentKougianos, E. / Mohanty, S.P. et al. | 2006
- 4 pp.
-
A/D and D/A converters by spike-interval modulation of simple spiking neuronsTanaka, A. / Torikai, H. / Saito, T. et al. | 2006
- 4 pp.
-
Minimum mean squared error time series classification using an echo state network prediction modelSkowronski, M.D. / Harris, J.G. et al. | 2006
- 4 pp.
-
Phase locked loop robustness improvement using non integer order loop filterLagareste, V. / Badets, F. / Melchior, P. / Begueret, J.B. / Deval, Y. / Oustaloup, A. / Belot, D. et al. | 2006
- 4 pp.
-
Power minimization of a 433-MHz LC VCO for an implantable neural recording systemKier, R.J. / Harrison, R.R. et al. | 2006
- 4 pp.
-
Encoder architecture with throughput over 10 Gbit/sec for quasi-cyclic LDPC codesZhiyong He, / Roy, S. / Fortier, P. et al. | 2006
- 4 pp.
-
A 2.6mW 2fps QVGA CMOS one-chip wireless camera with digital image transmission function for capsule endoscopesItoh, S. / Kawahito, S. / Terakawa, S. et al. | 2006
- 4 pp.
-
Mismatch compensation techniques using random data for time-interleaved A/D convertersHaftbaradaran, A. / Martin, K.W. et al. | 2006
- 4 pp.
-
On-line calibration of offset and gain mismatch in time-interleaved ADC using a sampled-data chaotic bit-streamCabrini, A. / Maloberti, F. / Rovatti, R. / Setti, G. et al. | 2006
- 4 pp.
-
VLSI implementation of a sequential Monte Carlo receiverShabany, M. / Gulak, P.G. et al. | 2006
- 4 pp.
-
Adaptive bandwidth PLL with compact current mode filterJiefeng Yan, / Lei Xie, / Xiaoyang Zeng, / Ting 'ao Tang, et al. | 2006
- 4 pp.
-
Observation of high-frequency analog/RF electrical circuit characteristics by on-chip thermal measurementsAltet, J. / Mateo, D. / Gonzalez, J.L. / Aldrete-Vidrio, E. et al. | 2006
- 4 pp.
-
A novel fast algorithm for intra mode decision in H.264/AVC encodersJhing-Fa Wang, / Jia-Ching Wang, / Jang-Ting Chen, / An-Chao Tsai, / Anand Paul, et al. | 2006
- 4 pp.
-
On the use of joint diagonalization in blind signal processingTheis, F.J. / Inouye, Y. et al. | 2006
- 4 pp.
-
Robust super-exponential methods for blind deconvolution of MIMO-IIR systems with Gaussian noiseKohno, K. / Inouye, Y. / Kawamoto, M. et al. | 2006
- 4 pp.
-
A new Spice-oriented frequency-domain optimization techniqueOda, M. / Yamagami, Y. / Nishio, Y. / Kawata, J. / Ushida, A. et al. | 2006
- 4 pp.
-
An adaptive maximum power point tracker for maximising solar cell efficiency in wireless sensor nodesAlippi, C. / Galperti, C. et al. | 2006
- 4 pp.
-
On the topographic equivalence between voltage mode and current mode ranked order filters for array processorsPoikonen, J. / Paasio, A. et al. | 2006
- 4 pp.
-
On randomization of digital delta-sigma modulators with DC inputsBorkowski, M.J. / Kostamovaara, J. et al. | 2006
- 4 pp.
-
Balanced 3-phase analog signal processing for radio communicationsYamaji, T. / Itakura, T. / Ito, R. / Ueno, T. / Okuni, H. et al. | 2006
- 4 pp.
-
A high performance CAVLC encoder design for MPEG-4 AVC/H.264 video coding applicationsChih-Da Chien, / Keng-Po Lu, / Yi-Hung Shih, / Jiun-In Guo, et al. | 2006
- 4 pp.
-
Integrated electrochemical neurosensorsStrong, T.D. / Martin, S.M. / Franklin, R.F. / Brown, R.B. et al. | 2006
- 4 pp.
-
Ultra-low power 90nm 6T SRAM cell for wireless sensor network applicationsHo, D. / Iniewski, K. / Kasnavi, S. / Ivanov, A. / Natarajan, S. et al. | 2006
- 4 pp.
-
Network-on-chip link analysis under power and performance constraintsManho Kim, / Daewook Kim, / Sobelman, G.E. et al. | 2006
- 4 pp.
-
A new QR-decomposition based recursive frequency estimator for multiple sinusoids in impulsive noise environmentLau, W.Y. / Chan, S.C. / Zhang, Z.G. / Leung, C.H. et al. | 2006
- 4 pp.
-
A low power 1.1 MHz CMOS continuous-time delta-sigma modulator with active-passive loop filtersSong, T. / Yan, S. et al. | 2006
- 4 pp.
-
An error concealment scheme for entire frame losses based on H.264/AVCZhenyu Wu, / Boyce, J.M. et al. | 2006
- 4 pp.
-
A sub-mA, high-gain CMOS low-noise amplifier for 2.4 GHz applicationsTrung-Kien Nguyen, / Sang-Gug Lee, et al. | 2006
- 4 pp.
-
Analog VLSI design of an adaptive neuromorphic chip for olfactory systemsKoickal, T.J. / Hamilton, A. / Pearce, T.C. / Tan, S.L. / Covington, J.A. / Gardner, J.W. et al. | 2006
- 4 pp.
-
New Riemannian metrics for speeding-up the convergence of over- and underdetermined ICASquartini, S. / Piazza, F. / Theis, F.J. et al. | 2006
- 4 pp.
-
Two-stage series-based neural network approach to nonlinear independent component analysisGao, P. / Khor, L.C. / Woo, W.L. / Dlay, S.S. et al. | 2006
- 4 pp.
-
Dark current and noise of 100nm thick silicon on sapphire CMOS lateral PIN photodiodesAdlerstein Marwick, M. / Tejada, F. / Pouliquen, P. / Culurciello, E. / Strohbehn, K. / Andreou, A.G. et al. | 2006
- 4 pp.
-
Concurrent bit-plane coding architecture for EBCOT in JPEG2000Jen-Shiun Chiang, / Chang-Yo Hsieh, / Jin-Chan Liu, / Cheng-Chih Chien, et al. | 2006
- 4 pp.
-
Yield enhancement by means of evolutionary computation techniquesZielinski, L. / Puchalski, B. / Rutkowski, J. et al. | 2006
- 4 pp.
-
Behavioral macromodeling of analog LSI implementation for automobile intake systemZhangcai Huang, / Inoue, Y. / Quan Zhang, / Yuehu Zhou, / Long Xie, / Ogai, H. et al. | 2006
- 4 pp.
-
A new structure for capacitor-mismatch-insensitive multiply-by-two amplificationZare-Hoseini, H. / Shoaei, O. / Kale, I. et al. | 2006
- 4 pp.
-
Image sensor with general spatial processing in a 3D integrated circuit technologyGruev, V. / Van der Spiegel, J. / Philipp, R.M. / Etienne-Cummings, R. et al. | 2006
- 4 pp.
-
Microelectromechanical systems in 3D SOI-CMOS: sensing electronics embedded in mechanical structuresTejada, F. / Andreou, A.G. et al. | 2006
- 4 pp.
-
CMOS analog iterative decoders using margin propagation circuitsChakrabartty, S. et al. | 2006
- 4 pp.
-
A CMOS instrumentation amplifier for wideband bioimpedance spectroscopy systemsYi-Qiang Zhao, / Demosthenous, A. / Bayford, R.H. et al. | 2006
- 4 pp.
-
Neurodynamic interface circuits for a multichannel, wireless sensor IC operating in saltwaterSamsukha, P. / Chestek, C. / Garverick, S.L. et al. | 2006
- 4 pp.
-
Towards an optimised VLSI design algorithm for the constant matrix multiplication problemKinane, A. / Muresan, V. / O'Connor, N. et al. | 2006
- 4 pp.
-
Efficient output transition time modeling in CMOS gates with ramp/exponential inputsAlioto, M. / Palumbo, G. / Poli, M. et al. | 2006
- 4 pp.
-
An adaptation method for FIR pre-emphasis filter on backplane channelKwisung Yoo, / Gunhee Han, et al. | 2006
- 4 pp.
-
Effects of charge-based computation non-idealities on CMOS image compression sensorsZhiqiang Lin, / Hoffman, M.W. / Leon, W.D. / Schemm, N. / Balkir, S. et al. | 2006
- 4 pp.
-
Analytic approach to nullor transformations for FET circuit synthesis. Part II. Nullator-norator re-pairing and cloningHaigh, D.G. et al. | 2006
- 4 pp.
-
ISECAD: an iterative simulation-equation-based opamp-design CAD toolToosi, T.K. / Tabasy, E.Z. / Sarbishaei, H. / Lotfi, R. et al. | 2006
- 4 pp.
-
Power-efficient VLSI implementation of bitstream parsing in H.264/AVC decoderKe Xu, / Chiu-Sing Choy, / Cheong-Fat Chan, / Kong-Pong Pun, et al. | 2006
- 4 pp.
-
A 1.8V, 10-bit, 40MS/s MOSFET-only pipeline analog-to-digital converterCharkhkar, H. / Asadi, A. / Lotfi, R. et al. | 2006
- 4 pp.
-
A CMOS implementation of time-interleaved high-pass /spl Delta//spl Sigma/ modulatorNguyen, V.T. / Loumeau, P. / Naviner, J.-F. et al. | 2006
- 4 pp.
-
Video compression based on orthonormal matching pursuitsJian-Liang Lin, / Wen-Liang Hwang, / Soo-Chang Pei, et al. | 2006
- 4 pp.
-
Congestion-driven W-shape multilevel full-chip routing frameworkHailong Yao, / Yici Cai, / Xianlong Hong, et al. | 2006
- 4 pp.
-
Number of stimulation units needed to derive all the phase patterns in pulse-driven star-coupled LC oscillatorsMoro, S. / Hamamoto, K. / Matsumoto, T. et al. | 2006
- 4 pp.
-
Blind dereverberation using correlation coefficients considering periodicity of voiced speechIida, T. / Nomura, Y. / Lu, J. / Sekiya, H. / Yahagi, T. et al. | 2006
- 4 pp.
-
A blind identification technique for noisy ARMA systemsFattah, S.A. / Zhu, W.-P. / Ahmad, M.O. et al. | 2006
- 4 pp.
-
A zero-skipping multi-symbol CAVLC decoder for MPEG-4 AVC/H.264Guo-Shiuan Yu, / Tian-Sheuan Chang, et al. | 2006
- 4 pp.
-
Generalized buffering of PTL logic stages using Boolean divisionGarg, R. / Khatri, S.P. et al. | 2006
- 4 pp.
-
Testable and self-repairable structured logic designAlsaiari, U. / Saleh, R. et al. | 2006
- 4 pp.
-
On the basins of attraction of parallel connected buck switching convertersYuehui Huang, / Tse, C.K. et al. | 2006
- 4 pp.
-
Capacity analysis of adaptive multiuser frequency-time domain radio resource allocation in OFDMA systemsXing Zhang, / Yirong Wang, / Wenbo Wang, et al. | 2006
- 4 pp.
-
Phase noise in bipolar and CMOS VCO's - an analytical comparisonKoc, B. / Koukab, A. / Dundar, G. et al. | 2006
- 4 pp.
-
Adaptive TDTL with enhanced performance using sample sensing techniqueAl-Araji, S. / Al-Qutayri, M. / Al-Zaabi, A. et al. | 2006
- 4 pp.
-
A vector quantizer classifier for blind signal to noise ratio estimation of speech signalsOndusko, R. / Marbacti, M. / Ramachandran, R.P. / Head, L.M. / Huggins, M.C. et al. | 2006
- 4 pp.
-
Dynamic calibration of current-steering DACChao Su, / Geiger, R.L. et al. | 2006
- 4 pp.
-
Generalized semi-blind channel estimator for TCM-OFDM systemKa-yau Ho, / Shu-hung Leung, et al. | 2006
- 4 pp.
-
Chaos in delay locked loopPing-Ying Wang, / Chou, C.-H. / Hsueh-Wu Kao, et al. | 2006
- 4 pp.
-
A low-voltage adaptive switched-current SDM for bio-acquisition microsystemsChih-Jen Cheng, / Shuenn-Yuh Lee, et al. | 2006
- 4 pp.
-
A 2-GHz integrated CMOS reflective-type phase shifter with 675/spl deg/ control rangeCharles, C.T. / Allstot, D.J. et al. | 2006
- 4 pp.
-
The design of multiplierless FIR filters with a minimum adder step and reduced hardware complexityMaskell, D.L. / Jussipekka Leiwo, / Patra, J.C. et al. | 2006
- 4 pp.
-
Assessment of parameter extraction methods for integrated inductor design and model validationHatzopoulos, A. / Stefanou, S. / Gielen, G. / Schreurs, D. et al. | 2006
- 4 pp.
-
Quadrature-DAC based pulse generation for UWB pulse radio transceiversJha, A. / Gharpurey, R. / Kinget, P. et al. | 2006
- 4 pp.
-
Hybrid discretization in power converters' digital controller designLiangbin Yao, / Abu-Qahouq, J.A. / Batarseh, I. et al. | 2006
- 4 pp.
-
Modeling of switched DC-DC converters by mixed s-z descriptionBiolek, D. / Biolkova, V. / Dobes, J. et al. | 2006
- 4 pp.
-
DCOS: cache embedded switch architecture for distributed shared memory multiprocessor SoCsDaewook Kim, / Manho Kim, / Sobelman, G.E. et al. | 2006
- 4 pp.
-
A 3.0 V 72mW 10b 100 MSample/s Nyquist-rate CMOS pipelined ADC in 0.54 mm/sup 2/Tae-Hwan Oh, / Sang-Min Yoo, / Kyoung-Ho Moon, / Jae-Whui Kim, et al. | 2006
- 4 pp.
-
On the regularity of orthonormal wavelets designed via the zero-pinning techniqueTay, D.B.H. et al. | 2006
- 4 pp.
-
Timing-constrained yield-driven wire sizing for critical area minimizationJin-Tai Yan, / Bo-Yi Chiang, / Chia-Fang Lee, et al. | 2006
- 4 pp.
-
Precoded V-BLAST for ISI MIMO channelsChun-Yang Chen, / Vaidyanathan, P.P. et al. | 2006
- 4 pp.
-
DCim++: a C++ library for object oriented hardware design and distributed simulationEsmaeilzadeh, H. / Moghimi, A. / Ebrahimi, E. / Lucas, C. / Navabi, Z. / Fakhraie, A.M. et al. | 2006
- 4 pp.
-
A readout circuit for capacitive biosensors with integrated SAR A/D conversionvan Vroonhoven, C.P.L. / Rocha, D. / Vellekoop, M.J. / Nohammer, C. et al. | 2006
- 4 pp.
-
Generalized lossless data hiding by multiple predictorsShu-Kei Yip, / Au, O.C. / Hoi-Ming Wong, / Chi-Wang Ho, et al. | 2006
- 4 pp.
-
H.264 native video watermarking methodSakazawa, S. / Takishima, Y. / Nakajima, Y. et al. | 2006
- 4 pp.
-
Analog circuit synthesis: a search for the Holy Grail?Huss, S.A. et al. | 2006
- 4 pp.
-
Design of current-mode resonator for wireless applicationsChun-Lung Hsu, / Yu-Kuan Wu, / Yi-Ting Lai, / Mean-Horn Ho, et al. | 2006
- 4 pp.
-
A novel loss compensation technique for broadband CMOS distributed amplifiersMoez, K.K. / Elmasry, M.I. et al. | 2006
- 4 pp.
-
Short periodic orbits and topological entropy for the Chua's circuitGalias, Z. et al. | 2006
- 4 pp.
-
Fast video coding based on Gaussian model of DCT coefficientsHanli Wang, / Sam Kwong, / Chi-Wah Kok, et al. | 2006
- 4 pp.
-
Library of structural analog cell macromodels for design of continuous-time reconfigurable /spl Delta//spl Sigma/ modulatorsYing Wei, / Doboli, A. et al. | 2006
- 4 pp.
-
Fast wavelet packet basis selection for block-partitioning image codingYongming Yang, / Chao Xu, et al. | 2006
- 4 pp.
-
Image compression with structure-aware inpaintingChen Wang, / Xiaoyan Sun, / Feng Wu, / Hongkai Xiong, et al. | 2006
- 4 pp.
-
Synchronization of multihop ad hoc networks using connected dominating setsRauschert, P. / Honarbacht, A. / Kummert, A. et al. | 2006
- 4 pp.
-
A novel hybrid neuro-wavelet system for robust speech recognitionYu Shao, / Chip-Hong Chang, et al. | 2006
- 4 pp.
-
Offset compensation using unbalanced polarizationMuniz, C. / Diaz, A. / Carvajal, R.G. et al. | 2006
- 4 pp.
-
Realization of QoS management using negotiation algorithms for multiprocessor NoCPastrnak, M. / de With, P.H.N. / van Meerbergen, J. et al. | 2006
- 4 pp.
-
Realization and optimization of DSP based H.264 encoderZhe Wei, / Canhui Cai, et al. | 2006
- 4 pp.
-
Locust-inspired vision system on chip architecture for collision detection in automotive applicationsCarranza, L. / Laviana, R. / Vargas, S. / Cuadri, J. / Linan, G. / Roca, E. / Rodriguez-Vazquez, A. et al. | 2006
- 4 pp.
-
Minimal circuit and state space realization of generalized 3-D lattice-ladder discrete filtersAntoniou, G.E. et al. | 2006
- 4 pp.
-
Analysis and modeling of jitter and frequency tolerance in gated oscillator based CDRsTajalli, A. / Muller, P. / Atarodi, M. / Leblebici, Y. et al. | 2006
- 4 pp.
-
Driver's drowsiness estimation by combining EEG signal analysis and ICA-based fuzzy neural networksChin-Teng Lin, / Sheng-Fu Liang, / Yu-Chieh Chen, / Yung-Chi Hsu, / Li-Wei Ko, et al. | 2006
- 4 pp.
-
Fast analytical approach to finding steady-state waveforms for power electronics circuits using orthogonal polynomial basis functionsTam, K.C. / Wong, S.C. / Tse, C.K. et al. | 2006
- 4 pp.
-
Improved generalized-proportionate stepsize LMS algorithms and performance analysisChan, S.C. / Zhou, Y. et al. | 2006
- 4 pp.
-
Design and modeling of on-chip monolithic transformers with patterned ground shieldEl-Gharniti, Q. / Kerherve, E. / Begueret, J.-B. et al. | 2006
- 4 pp.
-
An adaptive algorithm for fast identification of FIR systemsDa-Zheng Feng, / Wei Xing Zheng, et al. | 2006
- 4 pp.
-
20GHz bandwidth digitizer for single shot analysisHassan El Aabbaoui, / Gorisse, B. / Rolland, N. / Aziz Benlarbi-Delai, / Lampin, J.-F. / Rolland, P.-A. / Allouche, V. / Fel, N. / Riondet, B. / Leclerc, P. et al. | 2006
- 4 pp.
-
Orthogonal convolutional modulation for UWB impulse radio communicationsReggiani, L. / Tomasetta, A. / Maggio, G.M. et al. | 2006
- 4 pp.
-
Mismatch effect analyses in CMOS tapered buffersAragao, A.J. / Navarro, J. / Van Noije, W.A.M. et al. | 2006
- 4 pp.
-
A high data rate QPSK demodulator for inductively powered electronics implantsShihong Deng, / Yamu Hu, / Sawan, M. et al. | 2006
- 4 pp.
-
Design of a practical scheme for ultra wideband communicationYiyin Wang, / van Leuken, R. / van der Veen, A.-J. et al. | 2006
- 4 pp.
-
Towards an H.264/AVC full encoder on chip: an efficient real-time VBSME ASIC chipSayed, M. / Amer, I. / Badawy, W. et al. | 2006
- 4 pp.
-
Efficient deblocking filter architecture for H.264 video codersHeng-Yao Lin, / Jwu-Jin Yang, / Bin-Da Liu, / Jar-Ferr Yang, et al. | 2006
- 4 pp.
-
The optimum power conversion efficiency and associated gain of an LC CMOS oscillatorMurphy, D. / Kennedy, M.P. / Buckley, J. / Min Qu, et al. | 2006
- 4 pp.
-
Lifting-based lossless parallel image coding on discrete-time cellular neural networksAomori, H. / Otake, T. / Takahashi, N. / Tanaka, M. et al. | 2006
- 4 pp.
-
Adaptive rate control for H.264/AVC using Kalman filterCheng-Liang Chen, / Meng-Fen Ho, / Chung-Lin Huang, et al. | 2006
- 4 pp.
-
Reverse conversion architectures for signed-digit residue number systemsPersson, A. / Bengtsson, L. et al. | 2006
- 4 pp.
-
A study of floating-point architectures for pipelined RISC processorsReyes, J.A.P. / Alarcon, L.P. / Alarilla, L. et al. | 2006
- 4 pp.
-
An investigation on the stability of n-D Lur'e systemsDuignan, R. / Curran, P.F. et al. | 2006
- 4 pp.
-
A VLSI spike-driven dynamic synapse which learns only when necessaryMitra, S. / Fusi, S. / Indiveri, G. et al. | 2006
- 4 pp.
-
Tunable word length architecture for low power wireless OFDM demodulatorYoshizawa, S. / Miyanaga, Y. et al. | 2006
- 4 pp.
-
Robust analog circuit design: a set theoretic approachAltun, O. / Bocko, M. et al. | 2006
- 4 pp.
-
An architecture for best-basis algorithm using threshold cost function for imagesAroutchelvame, S.M. / Raahemifar, K. et al. | 2006
- 4 pp.
-
Accurately weighting subbands in temporal wavelet transformTiantian Sun, / Feng Wu, / Wen Gao, et al. | 2006
- 4 pp.
-
Performance-driven crosstalk elimination at post-compiler levelWu-An Kuo, / Yi-Ling Chiang, / TingTing Hwang, / Wu, A.C.H. et al. | 2006
- 4 pp.
-
A 1.8-Gb/s burst-mode clock and data recovery circuit with a 1/4-rate clock techniqueJun-Hong Weng, / Meng-Ting Tsai, / Jung-Mao Lin, / Ching-Yuan Yang, et al. | 2006
- 4 pp.
-
A floating-gate programmable array of silicon neurons for central pattern generating networksTenore, F. / Vogelstein, R.J. / Etienne-Cummings, R. / Cauwenberghs, G. / Hasler, P. et al. | 2006
- 4 pp.
-
Application of frequency-response masking technique to the design of a novel modified-DFT filter bankNan Li, / Nowrouzian, B. et al. | 2006
- 4 pp.
-
Fixed-point configurable hardware components for adaptive filtersRocher, R. / Herve, N. / Menard, D. / Sentieys, O. et al. | 2006
- 4 pp.
-
Analytical synthesis of current-mode even-Nth-order single-ended-input OTA and equal-capacitor elliptic filter structure with the minimum componentsShu-Hui Tu, / Neil Ross, J. / Chun-Ming Chang, et al. | 2006
- 4 pp.
-
Low-voltage CMOS syllabic-companding log domain filterAkita, I. / Wada, K. / Tadokoro, Y. et al. | 2006
- 4 pp.
-
A CMOS contact imager for locating individual cellsHonghao Ji, / Sander, D. / Haas, A. / Abshire, P.A. et al. | 2006
- 4 pp.
-
Q locked loop to tune a high-Q high-frequency bandpass filterKumar, A. / Allen, P.E. et al. | 2006
- 4 pp.
-
A self-compensation fixed-width booth multiplier and its 128-point FFT applicationsHong-An Huang, / Yen-Chin Liao, / Hsie-Chia Chang, et al. | 2006
- 4 pp.
-
Neuronal ion-channel dynamics in siliconHynna, K.M. / Boahen, K. et al. | 2006
- 4 pp.
-
Competing and accommodating behaviors of peace SOMMatsushita, H. / Nishio, Y. et al. | 2006
- 4 pp.
-
IPC-driven energy reduction for low-power designXia Xiao Xin, / Tay Teng Tiow, et al. | 2006
- 4 pp.
-
Mapping DSP applications on processor/coarse-grain reconfigurable array architecturesGalanis, M.D. / Dimitroulakos, G. / Goutis, C.E. et al. | 2006
- 4 pp.
-
Two-layered neighborhood tabu search for multi-objective distribution network expansion planningMori, H. / Yamada, Y. et al. | 2006
- 4 pp.
-
A CMOS down-conversion micromixer for IEEE 802.11b WLAN transceiversBaoyong Chi, / Bingxue Shi, / Zhihua Wang, et al. | 2006
- 4 pp.
-
Analysis of DC-DC converters containing a transformerNishi, T. / Ogata, M. et al. | 2006
- 4 pp.
-
Low-power 6-bit flash ADC for high-speed data converters architecturesFerragina, V. / Ghittori, N. / Maloberti, F. et al. | 2006
- 4 pp.
-
Wavelet domain one-bit transform for low-complexity motion estimationErturk, S. / Tae Gyu Chang, et al. | 2006
- 4 pp.
-
New results on exhaustive search algorithm for motion estimation using adaptive partial distortion search and successive elimination algorithmMan-Yau Chiu, / Wan-Chi Siu, et al. | 2006
- 4 pp.
-
Spice-oriented iterative technique for distortion analysisGourary, M.M. / Rusakov, S.G. / Ulyanov, S.L. / Zharov, M.M. / Mulvaney, B.J. et al. | 2006
- 4 pp.
-
Methods for estimating decoupling capacitance of nonswitching circuit blocksNassif, S.R. / Agarwal, K. / Acar, E. et al. | 2006
- 4 pp.
-
Architecture of a hypertransport tunnelCastonguay, A. / Savaria, Y. et al. | 2006
- 4 pp.
-
Sleep condition inferencing using simple multimodality sensorsYa-Ti Peng, / Ching-Yung Lin, / Ming-Ting Sun, / Ming-Whei Feng, et al. | 2006
- 4 pp.
-
Design of a MIMO OFDM baseband transceiver for cognitive radio systemJui-Ping Lien, / Po-An Chen, / Tzi-Dar Chiueh, et al. | 2006
- 4 pp.
-
EM-based analytical model for estimation of worst-case crosstalk noiseKadim, H.J. / Coulibaly, L.M. et al. | 2006
- 4 pp.
-
A 1.8V p(seudo)SRAM using standard 140nm DRAM technology with self adapting clocked standby operationJanik, T. / Liau, E. / Lorenz, H. / Menke, M. / Plaettner, E. / Schweden, J. / Seitz, H. / Vega-Ordonez, E. et al. | 2006
- 4 pp.
-
A novel, coupling driven, low power bus coding technique for minimizing capacitive crosstalk in VLSI interconnectsSainarayanan, K.S. / Ravindra, J.V.R. / Srinivas, M.B. et al. | 2006
- 4 pp.
-
Improving the coding of regions of interestYi-Lun Lin, / Shu-Fa Lin, / Chen, H.H. / Yuh-Feng Hsu, et al. | 2006
- 4 pp.
-
Capacitance selection for digital floating-gate circuits operating in subthresholdAlfredsson, J. / Oelmann, B. et al. | 2006
- 4 pp.
-
Wide-range integrated gas sensor interface based on a resistance-to-number converter technique with the oscillator decoupled from the input deviceGrassi, M. / Malcovati, P. / Baschirotto, A. et al. | 2006
- 4 pp.
-
Via placement for minimum interconnect delay in three-dimensional (3D) circuitsPavlidis, V.F. / Friedman, E.G. et al. | 2006
- 4 pp.
-
PLL-less clock multiplier with self-adjusting phase symmetryPedroni, V.A. / Pedroni, R.U. et al. | 2006
- 4 pp.
-
On the initialization of the DNMF algorithmBuciu, I. / Nikolaidis, N. / Pitas, I. et al. | 2006
- 4 pp.
-
MPEG complexity reduction by scene adaptive motion estimationMoshnyaga, V.G. / Yamaoka, S. et al. | 2006
- 4 pp.
-
A novel structure for the design of 2-1-1 cascaded continuous time delta sigma modulatorsShamsi, H. / Shoaei, O. et al. | 2006
- 4 pp.
-
Hardware architecture and trade-offs for generic inversion of one-way functionsMukhopadhyay, S. / Sarkar, P. et al. | 2006
- 4 pp.
-
2-level FIFO architecture design for switch fabrics in network-on-chipPo-Tsang Huang, / Wei Hwang, et al. | 2006
- 4 pp.
-
Tracking broadband plane waves using 2D adaptive FIR fan filtersGunaratne, T.K. / Bruton, L.T. et al. | 2006
- 4 pp.
-
Efficient dictionary design for multiscale recurrent pattern image codingRodrigues, N.M.M. / da Silva, E.A.B. / de Carvalho, M.B. / de Faria, S.M.M. / da Silva, V.M.M. / Pinage, F. et al. | 2006
- 4 pp.
-
3D integrated sensors in silicon-on-sapphire CMOSCulurciello, E. / Andreou, A.G. et al. | 2006
- 4 pp.
-
A low-power signal-recycling mixer and baseband amplifier with current reuseGharpurey, R. / Junghwan Han, / Venkataraman, S. et al. | 2006
- 4 pp.
-
Separate type switched-capacitor (SC) AC-DC converterTerada, S. / Oota, I. / Eguchi, K. / Ueno, F. et al. | 2006
- 4 pp.
-
Circuit sizing method under delay constraintVerle, A. / Landrault, A. / Maurine, P. / Azemard, N. et al. | 2006
- 4 pp.
-
One-dimensional interpolation based channel estimation for mobile DVB-H receptionI-Wei Lai, / Tzi-Dar Chiueh, et al. | 2006
- 4 pp.
-
Generalized arbitrary resizing for video transcodingHaiyan Shu, / Lap-Pui Chau, et al. | 2006
- 4 pp.
-
Bandwidth limits in PWM switching amplifiersMarco, L. / Poveda, A. / Alarcon, E. / Maksimovic, D. et al. | 2006
- 4 pp.
-
A high speed pipelined analog-to-digital converter using modified time-shifted correlated double sampling techniqueJin-Fu Lin, / Soon-Jyh Chang, et al. | 2006
- 4 pp.
-
Average rate behavior for cooperative diversity in wireless networksGomez-Vilardebo, J. / Perez-Neira, A.I. / Lagunas, M.A. et al. | 2006
- 4 pp.
-
Reduced-order H/sub /spl infin// and H/sub 2/ design of multirate filter banks using PDLF methodZhisheng Duan, / Jingxin Zhang, / Cishen Zhang, / Mosca, E. et al. | 2006
- 4 pp.
-
Distributed video coding with 3D recursive search block matchingWei-Jung Chien, / Karam, L.J. / Abousleman, G.P. et al. | 2006
- 4 pp.
-
Common-emitter feedback transimpedance amplifier for analog optical receiversKopa, A. / Apsel, A.B. et al. | 2006
- 4 pp.
-
A computationally efficient DAB bit-stream processorKazazoglu, R. / Demirsoy, S.S. / Kale, I. / Morling, R.C.S. et al. | 2006
- 4 pp.
-
Virtual time-variant model of the Eustachian tubeAntweiler, C. / Vary, P. / Di Martino, E. et al. | 2006
- 4 pp.
-
Multi-object tracking VLSI architecture using image-scan based region growing and feature matchingYamaoka, K. / Morimoto, T. / Adachi, H. / Awane, K. / Koide, T. / Mattausch, H.J. et al. | 2006
- 4 pp.
-
FPGA-based architecture for real-time IP video and image compressionMaroulis, D. / Sgouros, N. / Chaikalis, D. et al. | 2006
- 4 pp.
-
Unified motor controller based on space vector modulation techniqueWiangtong, T. / Dechsuwan, P. et al. | 2006
- 4 pp.
-
A 0.13 /spl mu/m CMOS delay cell for 40 Gb/s FFE equalizationLovitt, T. / Plett, C. / Rogers, J. et al. | 2006
- 4 pp.
-
Performance of a DSSS superregenerative receiver in the presence of noise and interferenceMoncunill-Geniz, F.X. / Pala-Schonwalder, P. et al. | 2006
- 4 pp.
-
A new watermarking system for joint ownership verificationGuofu Gui, / Lingge Jiang, / Chen He, et al. | 2006
- 4 pp.
-
Digit-serial/parallel multipliers with improved throughput and latencyKarlsson, M. / Vesterbacka, M. et al. | 2006
- 4 pp.
-
A 372 ps 64-bit adder using fast pull-up logic in 0.18-/spl mu/m CMOSJooyoung Kim, / Kangmin Lee, / Hoi-Jun Yoo, et al. | 2006
- 4 pp.
-
A low-power VLSI architecture for a shared-memory FFT processor with a mixed-radix algorithm and a simple memory control schemeShuenn-Yuh Lee, / Chia-Chyang Chen, / Chyh-Chyang Lee, / Chih-Jen Cheng, et al. | 2006
- 4 pp.
-
A family of PWM based sliding mode voltage controllers for basic DC-DC convertersSiew-Chong Tan, / Lai, Y.M. / Tse, C.K. et al. | 2006
- 4 pp.
-
VLSI architecture for 4 /spl times/ 4 16-QAM V-BLAST decoderSobhanmanesh, F. / Nooshabadi, S. et al. | 2006
- 4 pp.
-
Timing optimization of interconnect by simultaneous net-ordering, wire sizing and spacingMoiseev, K. / Wimer, S. / Kolodny, A. et al. | 2006
- 4 pp.
-
Bidirectional telemetry for implantable systemsSacristan, J. / Segura, F. / Oses, M.T. et al. | 2006
- 4 pp.
-
Non-uniform subband adaptive filtering with critical samplingPetraglia, M.R. / Batalheiro, P.B. et al. | 2006
- 4 pp.
-
Possible benefits of moderate inversion for MOSFET transconductorsLanglois PJ, / Demosthenous, A. et al. | 2006
- 4 pp.
-
An automatic three-dimensional human behavior analysis system for video surveillance applicationsHwang, J.-N. / Karliga, I. / Cheng, H.-Y. et al. | 2006
- 4 pp.
-
Real-time event detection and its application to surveillance systemsLiao, M.H.-Y. / Duan-Yu Chen, / Chih-Wen Sua, / Hsiao-Rang Tyan, et al. | 2006
- 4 pp.
-
A FPGA implementation of an elliptic curve cryptosystemDupont, L. / Roy, S. / Chouinard, J.Y. et al. | 2006
- 4 pp.
-
Explicit characterization of bandgap referencesXin Dai, / Degang Chen, / Geiger, R. et al. | 2006
- 4 pp.
-
Spatio-temporal boundary matching algorithm for temporal error concealmentYan Chen, / Au, O. / Chiwang Ho, / Jiantao Zhou, et al. | 2006
- 4 pp.
-
A hybrid encoding scheme for efficient single-cycle range matching in content addressable memoryYing Yu, / Hoare, R.R. / Jones, A.K. / Sprang, R. et al. | 2006
- 4 pp.
-
System-level verification on high-level synthesis of dataflow graphTsung-Hsi Chiang, / Lan-Rong Dung, et al. | 2006
- 4 pp.
-
On discretizing linear passive controllersCosta-Castello, R. / Fossas, E. et al. | 2006
- 4 pp.
-
A low-voltage operational amplifier with high slew-rate for sigma-delta modulatorsJoongho Choi, / Jinup Lim, / Cheng Chew Lim, et al. | 2006
- 4 pp.
-
A new low-voltage CMOS unity-gain bufferJimenez, M. / Torralba, A. / Carvajal, R.G. / Ramirez-Angulo, J. et al. | 2006
- 4 pp.
-
Execution time comparison of lifting-based 2D wavelet transforms implementations on a VLIW DSPMasselos, K. / Andreopoulos, Y. / Stouraitis, T. et al. | 2006
- 4 pp.
-
Active reversed nested Miller compensation for three-stage amplifiersGrasso, A.D. / Palumbo, G. / Pennisi, S. et al. | 2006
- 4 pp.
-
High-speed image processing with AER-based componentsSerrano-Gotarredona, R. / Linares-Barranco, B. / Serrano-Gotarredona, T. / Acosta-Jimenez, A.J. / Linares-Barranco, A. / Paz-Vicente, R. / Gomez-Rodriguez, F. et al. | 2006
- 4 pp.
-
A behavioral model of sampled-data systems in the phase-frequency transfer domain for architectural exploration of transceiversMartens, E. / Gielen, G. et al. | 2006
- 4 pp.
-
A 4-Gb/s/pin current mode 4-level simultaneous bidirectional I/O with current mismatch calibrationYong Sin Kim, / Sangho Shin, / Sung-Mo Kang, et al. | 2006
- 4 pp.
-
A low voltage, high speed, high resolution class AB switched current sample and holdRajaee, O. / Jahanian, A. / Bakhtiar, M.S. et al. | 2006
- 4 pp.
-
MIMO interconnects order reductions by using the global Arnoldi algorithmMing-Hong Lai, / Chia-Chi Chu, / Wu-Shiung Feng, et al. | 2006
- 4 pp.
-
An artificial synapse for interfacing to biological neuronsGordon, C. / Preyer, A. / Babalola, K. / Butera, R.J. / Hasler, P. et al. | 2006
- 4 pp.
-
A low power battery management system for rechargeable wireless implantable electronicsPengfei Li, / Bashirullah, R. / Principe, J.C. et al. | 2006
- 4 pp.
-
Prediction of power equipment failures based on chronological failure recordsDjuric, P.M. / Begovic, M.M. / Ferkel, J. et al. | 2006
- 4 pp.
-
Modeling orientation selectivity using a neuromorphic multi-chip systemChicca, E. / Lichtsteiner, P. / Delbruck, T. / Indiveri, G. / Douglas, R.J. et al. | 2006
- 4 pp.
-
Body-bias regulator for ultra low power multifunction CMOS gatesGranhaug, K. / Aunet, S. / Lande, T.S. et al. | 2006
- 4 pp.
-
Design considerations for digital circuits using organic thin film transistors on a flexible substrateQing Wu, / Jingyi Zhang, / Qinru Qiu, et al. | 2006
- 4 pp.
-
Logic optimization for majority gate-based nanoelectronic circuitsZhi Huo, / Qishan Zhang, / Haruehanroengra, S. / Wei Wang, et al. | 2006
- 4 pp.
-
Error concealment protection for loss resilient bitplane-coded video communicationsChih-Ming Fu, / Wen-Liang Hwang, / Chung-Lin Huang, et al. | 2006
- 4 pp.
-
A novel system for intrabody communication: touch-and-playChang Hee Hyoung, / Jin Bong Sung, / Jung Hwan Hwang, / Jin Kyung Kim, / Duck Gun Park, / Sung Weon Kang, et al. | 2006
- 4 pp.
-
Fourier series analysis of the nonlinearities in analog closed-loop PWM class D amplifiersWei Shu, / Chang, J.S. / Tong Ge, / Meng Tong Tan, et al. | 2006
- 4 pp.
-
Signal processing for brain-computer interface: enhance feature extraction and classificationHaihong Zhang, / Cuntai Guan, / Yuanqing Li, et al. | 2006
- 4 pp.
-
A CMOS image sensor for low light applicationsHonghao Ji, / Abshire, P.A. et al. | 2006
- 4 pp.
-
On the determination of adjusted OPF solutionsMoyano, C.F. / Salgado, R.S. / Barboza, L.V. et al. | 2006
- 4 pp.
-
Low-power and low-latency cluster topology for local traffic NoCsSaneei, M. / Afzali-Kusha, A. / Navabi, Z. et al. | 2006
- 4 pp.
-
Fast adaptive inter-prediction mode decision method for H.264 based on spatial correlationBin Feng, / Guang-xi Zhu, / Wen-yu Liu, et al. | 2006
- 4 pp.
-
A double-data rate (DDR) processing-in-memory (PIM) device with wideword floating-point capabilityBarrett, T. / Sumit Mediratta, / Taek-Jun Kwon, / Ravinder Singh, / Sachit Chandra, / Sondeen, J. / Draper, J. et al. | 2006
- 4 pp.
-
A mixed-structure delay locked-loop with wide range and fast lockingYoungkwon Jo, / Yong Shim, / Soohwan Kim, / Suki Kim, / Kwanjun Cho, et al. | 2006
- 4 pp.
-
The effects of quantizer metastability on the SNR of continuous-time /spl Sigma//spl Delta/ modulators with return-to-zero switched current DACLe Guillou, Y. et al. | 2006
- 4 pp.
-
A flexible and efficient sharp filter bank architecture for variable bandwidth systemsLee Jun Wei, / Lim Yong Ching, / Ong Sim Heng, et al. | 2006
- 4 pp.
-
A sufficient condition for 1D CNNs with antisymmetric templates to perform connected component detectionTakahashi, N. / Nishi, T. et al. | 2006
- 4 pp.
-
Full-duplex link implementation using dual-rail encoding and multiple-valued current-mode logicNigussie, E. / Plosila, J. / Isoaho, J. et al. | 2006
- 4 pp.
-
Asynchronous biphasic pulse signal coding and its CMOS realizationDu Chen, / Yuan Li, / Dongming Xu, / Harris, J.G. / Principe, J.C. et al. | 2006
- 4 pp.
-
An ultra-low power predistortion-based FHSS transmitterLopelli, E. / van der Tang, J. / van Roermund, A.H.M. et al. | 2006
- 4 pp.
-
A CMOS integrated linear voltage-to-pulse-delay-time converter for time based analog-to-digital convertersPekau, H. / Yousif, A. / Haslett, J.W. et al. | 2006
- 4 pp.
-
Algorithmic truncation of minimax polynomial coefficientsTawfik, S.A. / Fahmy, H.A.H. et al. | 2006
- 4 pp.
-
Mixed-signal thermometer filtering for low-complexity PLLs/DLLsAllan, G. / Knight, J. et al. | 2006
- 4 pp.
-
Nyquist criterion based design of continuous time /spl Sigma//spl Delta/ modulatorsDe Maeyer, J. / Rombouts, P. / Weyten, L. et al. | 2006
- 4 pp.
-
Architecture design of area-efficient SRAM-based multi-symbol arithmetic encoder in H.264/AVCYu-Jen Chen, / Chen-Han Tsai, / Liang-Gee Chen, et al. | 2006
- 4 pp.
-
Realization of a CNN-driven cockroach-inspired robotArena, P. / Fortuna, L. / Frasca, M. / Patane, L. / Pavone, M. et al. | 2006
- 4 pp.
-
Bifurcation theory of a class of perturbed mappingsO'Donnell, B. / Curran, P.F. / Feely, O. et al. | 2006
- 4 pp.
-
A CMOS monolithic implementation of a nonlinear interconnection module for a corticonic networkYuan, J. / Farhat, N. / Van der Spiegel, J. et al. | 2006
- 4 pp.
-
Closed-form design of maximally flat FIR fractional delay filtersSoo-Chang Pei, / Huei-Shan Lin, / Peng-Hua Wang, et al. | 2006
- 4 pp.
-
LUT-based MPGAs for fast turnaround time conversion flowVeredas, F.-J. / Scheppler, M. / Bumei Zhai, / Pfleiderer, H.-J. et al. | 2006
- 4 pp.
-
A charge based computation system and control strategy for energy harvesting applicationsHui Shao, / Chi-Ying Tsui, / Wing-Hung Ki, et al. | 2006
- 4 pp.
-
A mathematical framework for active circuits based on port equivalence using limit variablesHaigh, D.G. / Clarke, T.J.W. / Radmore, P.M. et al. | 2006
- 4 pp.
-
Basis picking for matching pursuits audio compressionMonro, D.M. et al. | 2006
- 4 pp.
-
A CMOS distributed amplifier with current reuse optimizationMei-Fen Chou, / Wen-An Tsou, / Dunn, R.H. / Hsiang-Lin Huang, / Kuei-Ann Wen, / Chun-Yen Chang, et al. | 2006
- 4 pp.
-
A high-speed computational method of fuzzy inference system for embedded systemsNakagawa, M. et al. | 2006
- 4 pp.
-
Integrated low-ripple-voltage fast-response switched-capacitor power converter with interleaving regulation schemeSomasundaram, M.N. / Ma, D. et al. | 2006
- 4 pp.
-
A fully-differential CMOS Clapp VCO for IEEE 802.11a applicationsShekhar, S. / Aniruddhan, S. / Allstot, D.J. et al. | 2006
- 4 pp.
-
Spectrum filtering with FRM for robust speech recognitionHayasaka, N. / Miyanaga, Y. et al. | 2006
- 4 pp.
-
Time-interleaved analog-to-digital converters: status and future directionsVogel, C. / Johansson, H. et al. | 2006
- 4 pp.
-
A phase-domain 2nd-order continuous time /spl Delta//spl Sigma/-modulator for frequency digitizationSharifkhani, M. / Sachdev, M. et al. | 2006
- 4 pp.
-
Average power sum of the near-end crosstalk couplings after near-end crosstalk cancellationNongpiur, R.C. / Shpak, D.J. / Antoniou, A. et al. | 2006
- 4 pp.
-
Lower bounds for the MSE convergence of APAUmoh, I.J. / Ogunfunmi, T. et al. | 2006
- 4 pp.
-
Reencoder design for soft-decision decoding of an (255,239) Reed-Solomon codeJun Ma, / Vardy, A. / Zhongfeng Wang, et al. | 2006
- 4 pp.
-
A robust continuous-time multi-dithering technique for laser communications using adaptive opticsLoizos, D.N. / Sotiriadis, P.P. / Cauwenberghs, G. et al. | 2006
- 4 pp.
-
Switch synchronizing delayed feedback control for piecewise linear systemsToyosaki, Y. / Ueta, T. / Kousaka, T. et al. | 2006
- 4 pp.
-
A massively parallel algorithm for local binary pattern based face recognitionLahdenoja, O. / Maunu, J. / Laiho, M. / Paasio, A. et al. | 2006
- 4 pp.
-
JPWL - an extension of JPEG 2000 for wireless imagingDufaux, F. / Baruffa, G. / Frescura, F. / Nicholson, D. et al. | 2006
- 4 pp.
-
Process-insensitive modulated-clock voltage comparatorTaillefer, C.S. / Roberts, G.W. et al. | 2006
- 4 pp.
-
A time-based analog-to-digital converter using a multi-phase voltage controlled oscillatorJaewook Kim, / Seonghwan Cho, et al. | 2006
- 4 pp.
-
Energy circulation quadrature LC-VCOChih-Wei Yao, / Willson, A.N. et al. | 2006
- 4 pp.
-
Messaging and spectrum sharing between ad-hoc cognitive radio networksSydor, J. et al. | 2006
- 4 pp.
-
Algorithm and VLSI architecture for linear MMSE detection in MIMO-OFDM systemsBurg, A. / Haene, S. / Perels, D. / Luethi, P. / Felber, N. / Fichtner, W. et al. | 2006
- 4 pp.
-
A neural recording system for monitoring shark behaviorWentai Liu, / Sivaprakasam, M. / Gang Wang, / Moo Sung Chae, et al. | 2006
- 4 pp.
-
Modeling the effect of distortion on the phase noise in electrical oscillatorsOskooei, M.S. / Masoumi, N. et al. | 2006
- 4 pp.
-
An efficient algorithm for blind separation of multiple independent sourcesDa-Zheng Feng, / Wei Xing Zheng, et al. | 2006
- 4 pp.
-
On-chip current flattening circuit with dynamic voltage scalingVahedi, H. / Muresan, R. / Gregori, S. et al. | 2006
- 4 pp.
-
A power-efficient 1.056 GS/s resolution-switchable 5-bit/6-bit flash ADC for UWB applicationsJun-Xia Ma, / Sai-Weng Sin, / Seng-Pan U, / Martins, R.P. et al. | 2006
- 4 pp.
-
Design considerations and recent advances in CMOS-based microsystems for point-of-care clinical diagnosticsBarrettino, D. et al. | 2006
- 4 pp.
-
Multi-pass algorithm of motion estimation in video encoding for generic GPUYu-Cheng Lin, / Pei-Lun Li, / Chin-Hsiang Chang, / Chi-Ling Wu, / You-Ming Tsao, / Shao-Yi Chien, et al. | 2006
- 4 pp.
-
A neural model for sonar-based navigation in obstacle fieldsHoriuchi, T.K. et al. | 2006
- 4 pp.
-
Design exploration with an application-specific instruction-set processor for ELA deinterlacingMbaye, M. / Lebel, D. / Belanger, N. / Savaria, Y. / Pierre, S. et al. | 2006
- 4 pp.
-
A high-speed, low-complexity radix-2/sup 4/ FFT processor for MB-OFDM UWB systemsJeesung Lee, / Hanho Lee, / Sang-in Cho, / Sang-Sung Choi, et al. | 2006
- 4 pp.
-
A 10GS/s 2V/sub pp/ emitter follower only track and hold amplifier in SiGe BiCMOS technologyHaider, S. / Osmany, S.A. / Gustat, H. / Heinemann, B. et al. | 2006
- 4 pp.
-
Parallel encoders for low-density parity-check convolutional codesBates, S. / Ramkrishna Swamy, et al. | 2006
- 4 pp.
-
Power-balanced reconfigurable floating-gate-MOS logic circuit for tamper resistant VLSITongprasit, B. / Shibata, T. et al. | 2006
- 4 pp.
-
MIMO detection in analog VLSISoler-Garrido, J. / Piechocki, R.J. / Maharatna, K. / McNamara, D. et al. | 2006
- 4 pp.
-
Efficient low-power design and implementation of IQ-imbalance compensator using early terminationCetin, E. / Kale, I. / Morling, R.C.S. et al. | 2006
- 4 pp.
-
Load adaptive control scheme to improve converter efficiency and performanceAbu Qahouq, J.A. / Huang, L. et al. | 2006
- 4 pp.
-
Disposable CMOS passive RFID transponder for patient monitoringWoochul Jeon, / Melngailis, J. / Newcomb, R.W. et al. | 2006
- 4 pp.
-
A 65MHZ switching rate, two-stage interleaved synchronous buck converter with fully integrated output filterAbedinpour, S. / Bakkaloglu, B. / Kiaei, S. et al. | 2006
- 4 pp.
-
A low ripple on-chip charge pump for bootstrapping of the noise-sensitive nodesAlenin, S. / Spady, D. / Ivanov, V. et al. | 2006
- 4 pp.
-
Analysis and VLSI architecture of update step in motion-compensated temporal filteringChih-Chi Cheng, / Ching-Yeh Chen, / Yi-Hau Chen, / Liang-Gee Chen, et al. | 2006
- 4 pp.
-
Clock jitter compensation for current steering DACsWiesbauer, A. / Straussnigg, D. / Gaggl, R. / Clara, M. / Hernandez, L. / Gruber, D. et al. | 2006
- 4 pp.
-
300-Mbps OFDM baseband transceiver for wireless LAN systemsYoshizawa, S. / Miyanaga, Y. / Ochi, H. / Itho, Y. / Hataoka, N. / Sai, B. / Takayama, N. / Hirata, M. et al. | 2006
- 4 pp.
-
Automatic video annotation based on co-adaptation and label correctionMeng Wang, / Xian-Sheng Hua, / Yan Song, / Li-Rong Dal, / ShiPeng Li, et al. | 2006
- 4 pp.
-
A CMOS potentiostat for control of integrated MEMS actuatorsPrakash, S.B. / Abshire, P. / Urdaneta, M. / Christophersen, M. / Smela, E. et al. | 2006
- 4 pp.
-
Implementation of H.264/AVC decoder for mobile video applicationsSuh Ho Lee, / Jeong Hun Kim, / Ji Hwan Park, / Seon Wook Kim, / Suki Kim, et al. | 2006
- 4 pp.
-
On handling the fixed-outline constraints of floorplanning using less flexibility first principlesShaojun Wei, / Sheqin Dong, / Xianlong Hong, / Youliang Wu, et al. | 2006
- 4 pp.
-
A novel technique integrating buffer insertion into timing driven placementLijuan Luo, / Qiang Zhou, / Yici Cai, / Xianlong Hong, / Yibo Wang, et al. | 2006
- 4 pp.
-
Analog fault AC dictionary creation - the fuzzy set approachGrzechca, D. / Golonek, T. / Rutkowski, J. et al. | 2006
- 4 pp.
-
Multiplier reduction tree with logarithmic logic depth and regular connectivityEriksson, H. / Larsson-Edefors, P. / Sheeran, M. / Sjalander, M. / Johansson, D. / Scholin, M. et al. | 2006
- 4 pp.
-
A versatile computation module for adaptable multimedia processorsYunan Xiang, / Pettibon, R. / Margala, M. et al. | 2006
- 4 pp.
-
A rail to rail, slew-boosted pre-charge bufferDine, H. / Chuang, S. / Allen, P.E. / Hasler, P. et al. | 2006
- 4 pp.
-
Linear compact CMOS OTA with multidecade tuning, -62dB IM3, -75dB SFDR, constant input range and two independent degrees of freedom for gain adjustmentSawant, M.S. / Ramirez-Angulo, J. / Carvajal, R.G. / Lopez-Martin, A.J. et al. | 2006
- 4 pp.
-
A 12-bit current steering DAC for cryogenic applicationsYuan Yao, / Xuefeng Yu, / Dai, F. / Jaeger, R.C. et al. | 2006
- 4 pp.
-
A time-domain gradient-detection architecture for VLSI analog motion sensorsIto, K. / Shibata, T. et al. | 2006
- 4 pp.
-
Adaptive projected subgradient method and its applications to robust signal processingYamada, I. / Slavakis, K. / Yukawa, M. / Cavalcante, R.L.G. et al. | 2006
- 4 pp.
-
Grouped multiuser diversity in multiuser MIMO systems exploiting spatial multiplexingErlin Zeng, / Shihua Zhu, / Xuewen Liao, et al. | 2006
- 4 pp.
-
High-gain current amplifiers for low-power MOSFET-C filtersKhumsat, P. / Worapishet, A. et al. | 2006
- 4 pp.
-
Gramian-preserving frequency transformation for linear continuous-time state-space systemsKoshita, S. / Abe, M. / Kawamata, M. et al. | 2006
- 4 pp.
-
Error-resilience packet scheduling for low bit-rate video streaming over wireless channelsHao Liu, / Wenjun Zhang, / Xiaokang Yang, et al. | 2006
- 4 pp.
-
Optimum wire tapering for minimum power dissipation in RLC interconnectsEl-Moursy, M.A. / Friedman, E.G. et al. | 2006
- 4 pp.
-
An FPGA implementation of the flexible triangle search algorithm for block based motion estimationRehan, M. / Watheq El-Kharashi, M. / Agathoklis, P. / Gebali, F. et al. | 2006
- 4 pp.
-
Performance and routability improvements for routability-driven FPGA routersBoshra, S.M. / Abbas, H.M. / Darwish, A.M. / Talkhan, I.E. et al. | 2006
- 4 pp.
-
High-precision, fast current source for large-area current-programmed a-Si flat panelsReza Chaji, G. / Nathan, A. et al. | 2006
- 4 pp.
-
Maximum likelihood disjunctive decomposition to reduced multirooted DAG for FIR filter designChip-Hong Chang, / Jiajia Chen, / Vinod, A.P. et al. | 2006
- 4 pp.
-
A nanowatt bandgap voltage reference for ultra-low power applicationsMiller, S. / MacEachern, L. et al. | 2006
- 4 pp.
-
Sine wave as a correlating signal for UWB radioKoivisto, T. / Peltonen, T. / Shen, M. / Tjukanoff, E. / Paasio, A. et al. | 2006
- 4 pp.
-
Three-loop temporal interpolation for error concealment of MDCMa, Mengyao / Au, O.C. / Chan, S.H.G. / Liwei Giio, / Zhiqin Liang, et al. | 2006
- 4 pp.
-
2D scroll grid attractors from pulse-excited nonautonomous circuitsOzoguz, S. / Elwakil, A.S. et al. | 2006
- 4 pp.
-
Adaptive timing for analysis of skew toleranceLei Wang, / Shuo Wang, et al. | 2006
- 4 pp.
-
State-space averaging of switched-inductor-cell for PWM dc-dc converters considering conduction losses in both operational modesDavoudi, A. / Jatskevich, J. et al. | 2006
- 4 pp.
-
A CMOS bandpass filter with wide-tuning range for wireless applicationsZhiqiang Gao, / Mingyan Yu, / Yizheng Ye, / Jianguo Ma, et al. | 2006
- 4 pp.
-
A new motion and disparity vector prediction technique for H.264 based stereoscopic video codingAdikari, B. / Fernando, W.A.C. / Arachchi, H.K. et al. | 2006
- 4 pp.
-
A micropower vision processor for parallel object positioning and sizingConstandinou, T.G. / Toumazou, C. et al. | 2006
- 4 pp.
-
Address-event image sensor networkCulurciello, E. / Savvides, A. et al. | 2006
- 4 pp.
-
Embedded mixed-signal systems: new challenges for modeling and simulationVachoux, A. / Grimm, C. / Kakerow, R. / Meise, C. et al. | 2006
- 4 pp.
-
A low power SoC bus with low-leakage and low-swing techniqueKwang-Il Oh, / Seunghyun Cho, / Lee-Sup Kim, et al. | 2006
- 4 pp.
-
Fully reconfigurable active-Gm-RC biquadratic cells for software defined radio applicationsGiannini, V. / Craninckx, J. / Compiet, J. / Come, B. / D'Amico, S. / Baschirotto, A. et al. | 2006
- 4 pp.
-
ETHFB: a new class of even-length wavelet filters for Hilbert pair designTay, D.B.H. et al. | 2006
- 4 pp.
-
Detection of on-chip temperature gradient using a 1.5V low power CMOS temperature sensorYiming Zhai, / Prakash, S.B. / Cohen, M.H. / Abshire, P.A. et al. | 2006
- 4 pp.
-
Belief propagation decoding for codes based on discretized chaotic mapsKozic, S. / Hasler, M. et al. | 2006
- 4 pp.
-
Analysis and design of MCML gates with hysteresisAlioto, M. / Pancioni, L. / Rocchi, S. / Vignoli, V. et al. | 2006
- 4 pp.
-
Linearly precoded ST-OFDM systems in the presence of ISIYuan-Hwui Chung, / See-May Phoong, et al. | 2006
- 4 pp.
-
A current copying structure for current-mode monotonic digital-to-analog convertersMerino, J.L. / Teres, L. / Carrabina, J. et al. | 2006
- 4 pp.
-
Bit level architectural exploration technique for the design of low power multipliersEconomakos, G. / Anagnostopoulos, K. et al. | 2006
- 4 pp.
-
A low-power clock frequency multiplierFaisal, M.I. / Bayoumi, M. / Peiyi Zhao, et al. | 2006
- 4 pp.
-
Post-layout energy-delay analysis of parallel multipliersJinyao Zhang, / Vujkovic, M. / Wadkins, D. / Sechen, C. et al. | 2006
- 4 pp.
-
Implementation of an asynchronous current-mode ADC with adaptive quantizationVirtanen, K. / Pankaala, M. / Laiho, M. / Paasio, A. et al. | 2006
- 4 pp.
-
A broadcast-based test scheme for reducing test size and application timeJiann-Chyi Rau, / Jun-Yi Chang, / Chien-Shiun Chen, et al. | 2006
- 4 pp.
-
Power transfer networks at RF frequencies: new design procedures with implementation roadmapSengul, M. / Trabert, J. / Blau, K. / Yarman, B.S. / Hein, M. et al. | 2006
- 4 pp.
-
A multiobjective service restoration method for power distribution systemsInagaki, J. / Nakajima, J. / Haseyama, M. et al. | 2006
- 4 pp.
-
Automatic speaker change detection with the Bayesian information criterion using MPEG-7 features and a fusion schemeKotti, M. / Benetos, E. / Kotropoulos, C. et al. | 2006
- 4 pp.
-
A novel 2-GHz band-pass delta modulator dedicated to wireless receiversNaderi, A. / Sawan, M. / Savaria, Y. et al. | 2006
- 4 pp.
-
Compound noise analysis in digital circuits using blind source separationNigam, V.P. / Chowdhury, M.H. / Priemer, R. et al. | 2006
- 4 pp.
-
1.5-V square-root domain first-order filter with multiple operating pointsDe La Cruz-Blas, C.A. / Lopez-Martin, A. / Carlosena, A. / Hernandez, L. / Sarmiento, A. et al. | 2006
- 4 pp.
-
An algorithm for calculating correlation coefficients between Elmore interconnect delaysTsukiyama, S. / Tomita, M. et al. | 2006
- 4 pp.
-
Wavelet transforms dedicated to compress recorded ENGs from multichannel implants: comparative architectural studyDumortier, C. / Gosselin, B. / Sawan, M. et al. | 2006
- 4 pp.
-
Adaptive sliding mode control using simple adaptive control for SISO nonlinear systemsYasser, M. / Trisanto, A. / Jianming Lu, / Sekiya, H. / Yahagi, T. et al. | 2006
- 4 pp.
-
Full-range cellular neural networks and differential variational inequalitiesDe Sandre, G. / Forti, M. / Nistri, P. / Premoli, A. et al. | 2006
- 4 pp.
-
Calculating distortion in active CMOS mixers using Volterra seriesTheodoratos, G. / Vasilopoulos, A. / Vitzilaios, G. / Papananos, Y. et al. | 2006
- 4 pp.
-
Sampled analog architecture for 2-D DCTThakkar, C. / Sundar Dhar, A. et al. | 2006
- 4 pp.
-
Slew rate induced distortion in switched-resistor integratorsJiraseree-amornkun, A. / Worapishet, A. / Klumperink, E.A.M. / Nauta, B. / Surakampontorn, W. et al. | 2006
- 4 pp.
-
Data partition for wavefront parallelization of H.264 video encoderZhuo Zhao, / Ping Liang, et al. | 2006
- 4 pp.
-
Low power design of H.264 CAVLC decoderHeng-Yao Lin, / Ying-Hong Lu, / Bin-Da Liu, / Jar-Ferr Yang, et al. | 2006
- 4 pp.
-
Low-power multiplier with static decision for input manipulationRiazati, M. / Sobhani, A. / Mottaghi-Dastjerdi, M. / Afzali-Kusha, A. / Khakifirooz, A. et al. | 2006
- 4 pp.
-
Theoretical and experimental analysis of Dickson charge pump output resistanceCabrini, A. / Gobbi, L. / Torelli, G. et al. | 2006
- 4 pp.
-
Robust adaptive infinite impulse response notch filters: a novel state-space approachJunli Liang, / Shijun Wang, / Shuyuan Yang, et al. | 2006
- 4 pp.
-
A high-speed, low-power interleaved trace-back memory for Viterbi decoderIsrasena, P. / Kale, I. et al. | 2006
- 4 pp.
-
42% power savings through glitch-reducing clocking strategy in a hearing aid applicationCarbognani, F. / Buergin, F. / Felber, N. / Kaeslin, H. / Fichtner, W. et al. | 2006
- 4 pp.
-
Characterization of total chip leakage using inverse (reciprocal) gamma distributionAcar, E. / Agarwal, K. / Nassif, S.R. et al. | 2006
- 4 pp.
-
Unequal authenticity protection (UAP) for rate-distortion-optimized secure streaming of multimedia over wireless networksZhi Li, / Qibin Sun, / Yong Lian, et al. | 2006
- 4 pp.
-
Integration of class DE inverter for on-chip DC-DC power suppliesSuetsugu, T. / Kazimierczuk, M.K. et al. | 2006
- 4 pp.
-
Poisson AER generator: inter-spike-intervals analysisLinares-Barranco, A. / Cascado, D. / Jimenez, G. / Civit, A. / Oster, M. / Linares-Barranco, B. et al. | 2006
- 4 pp.
-
High-speed CRC design for 10 Gbps applicationsJing-Shiun Lin, / Chung-Kung Lee, / Ming-Der Shieh, / Jun-Hong Chen, et al. | 2006
- 4 pp.
-
Combined image signal processing for CMOS image sensorsKimo Kim, / In-Cheol Park, et al. | 2006
- 4 pp.
-
A threshold voltage variation cancellation technique for analogue peripheral circuits of a display array using poly-Si TFTsPappas, I. / Nalpantidis, L. / Kalenteridis, V. / Siskos, S. / Hatzopoulos, A.A. / Dimitriadis, C.A. et al. | 2006
- 4 pp.
-
Mixed-signal implementation of a nonlinear decoder for delta-sigma encoded streamWake, H.A. / Kim, D.D. / Brooke, M.A. et al. | 2006
- 4 pp.
-
A differential 0.13/spl mu/m CMOS active inductor for high-frequency phase shiftersAbdalla, M. / Eleftheriades, G.V. / Khoman Phang, et al. | 2006
- 4 pp.
-
Weighted-to-residue and residue-to-weighted converters with three-moduli (2/sup n/ - 1, 2/sup n/, 2/sup n/+1) signed-digit architecturesShuangching Chen, / Shugang Wei, et al. | 2006
- 4 pp.
-
A variable-offset phase detector for phased-array applicationsCharles, C.T. / Allstot, D.J. et al. | 2006
- 4 pp.
-
A CMOS circuit for embedded GHz measurement of digital signal rise time degradationSafi-Harb, M. / Roberts, G.W. et al. | 2006
- 4 pp.
-
A high-quality sine-wave oscillator for analog built-in self-testingDominguez, M.A. / Ausin, J.L. / Duque-Carillo, J.F. / Torelli, G. et al. | 2006
- 4 pp.
-
Implementation of digital IQ imbalance compensation in OFDM WLAN receiversKuang-Hao Lin, / Hsin-Lei Lin, / Shih-Ming Wang, / Chang, R.C. et al. | 2006
- 4 pp.
-
Enhanced degree computationless modified Euclid's algorithm for Reed-Solomon decoderJaehyun Baek, / Myung Hoon Sunwoo, et al. | 2006
- 4 pp.
-
An efficient adaptive interlace-to-progressive scan conversion scheme and hardware implementationShahab Salehi, / Handjojo, B.M. / Wei Wang, / Yaobin Chen, et al. | 2006
- 4 pp.
-
Scalable high-throughput architecture for H.264/AVC variable block size motion estimationWarrington, S. / Wai-Yip Chan, / Sudharsanan, S. et al. | 2006
- 4 pp.
-
Fine grained multi-threshold CMOS for enhanced leakage reductionDeogun, H.S. / Sylvester, D. / Nowka, K. et al. | 2006
- 4 pp.
-
JPEG2000. Part 10. Volumetric data encodingSchelkens, P. / Munteanu, A. / Tzannes, A. / Brislawn, C. et al. | 2006
- 4 pp.
-
Phase measurement and adjustment of digital signals using random sampling techniqueBhatti, R.Z. / Denneau, M. / Draper, J. et al. | 2006
- 4 pp.
-
Ultra-low-power flash memory in standard 0.35 /spl mu/m CMOS for passive microwave RFID transpondersDe Vita, G. / Iannaccone, G. et al. | 2006
- 4 pp.
-
Low power LC-VCO design using direct cross-coupled cell biasingDongkyu Park, / Byunghoo Jung, et al. | 2006
- 4 pp.
-
1-V ultra-low-power CMOS LC VCO for UHF quadrature signal generationWang, Z. / Savci, H.S. / Dogan, N.S. et al. | 2006
- 4 pp.
-
Blind adaptive equalizer for IIR channels with common zerosRadenkovic, M. / Bose, T. et al. | 2006
- 4 pp.
-
Using self-organizing maps to control physical robots with omnidirectional drivesSalomon, R. / Burchardt, H. / Schulz, T. et al. | 2006
- 4 pp.
-
A wide band CMOS RF power detectorYijun Zhou, / Chia Yan Wah, M. et al. | 2006
- 4 pp.
-
An interleaver implementation for the serially concatenated pulse-position modulation decoderCheng, M.K. / Moision, B.E. / Hamkins, J. / Nakashima, M.A. et al. | 2006
- 4 pp.
-
High-voltage operational amplifier based on dual floating-gate transistorsHuang, Z. / Savaria, Y. / Sawan, M. / Meinga, R. et al. | 2006
- 4 pp.
-
Energy-efficient adaptive clocking dual edge sense-amplifier flip-flopYen-Ting Liu, / Lih-Yih Chiou, / Soon-Jyh Chang, et al. | 2006
- 4 pp.
-
An embedded low power reconfigurable fabric for finite state machine operationsZhenyu Liu, / Arslan, T. / Erdogan, A.T. et al. | 2006
- 4 pp.
-
A 3 /spl mu/W, 2 MHz CMOS frequency reference for capacitive sensor applicationsPaavola, M. / Laiho, M. / Saukoski, M. / Halonen, K. et al. | 2006
- 4 pp.
-
Algorithmic /spl Delta//spl Sigma/-modulated FIR filterOlyaei, A. / Genov, R. et al. | 2006
- 4 pp.
-
Post linearization of CMOS LNA using double cascade FETsGuochi Huang, / Tae-Sung Kim, / Byung-Sung Kim, / Mingyan Yu, / Yizheng Ye, et al. | 2006
- 4 pp.
-
A new common subexpression elimination algorithm for implementing low complexity FIR filters in software defined radio receiversMahesh, R. / Vinod, A.P. et al. | 2006
- 4 pp.
-
On the performance of TPC-based STBC coded MIMO-OFDM system over IMT2000 channelsYejun He, / Guangxi Zhu, et al. | 2006
- 4 pp.
-
Self-latching operation limits for MOBILE circuitsQuintana, J.M. / Avedillo, M.J. / Pettenghi, H. et al. | 2006
- 4 pp.
-
Properties and modeling of ground structures for reducing substrate noise coupling in ICsKristiansson, S. / Ingvarson, F. / Jeppson, K.O. et al. | 2006
- 4 pp.
-
A low current consumption delta-sigma modulator for body-implanted chipKiyoyama, K. / Tanaka, Y. / Onoda, M. et al. | 2006
- 4 pp.
-
A low power third order delta-sigma modulator for digital audio applicationsRanjbar, M. / Lahiji, G.R. / Oliaei, O. et al. | 2006
- 4 pp.
-
A 6-digit CMOS current-mode analog-to-quaternary converter with RSD error correction algorithmChi-Hong Chan, / Cheong-Fat Chan, / Chiu-Sing Choy, / Kong-Pang Pun, et al. | 2006
- 4 pp.
-
Interference severity in nerve cuff recordings due to muscle source relative proximityTriantis, I.F. / Demosthenous, A. et al. | 2006
- 4 pp.
-
Efficient path metric access for reducing interconnect overhead in Viterbi decodersMing-Der Shieh, / Tai-Ping Wang, / Chien-Ming Wu, / Chun-Ming Huang, et al. | 2006
- 4 pp.
-
ASIC hardware implementation of the IDEA NXT encryption algorithmMacchetti, M. / Wenyu Chen, et al. | 2006
- 4 pp.
-
Self-calibration of gain and output match in LNAsDas, T. / Mukund, P.R. et al. | 2006
- 4 pp.
-
Modeling the effects of BJT base currents on the dynamics of a log-domain filterAscoli, A. / Feely, O. / Curran, P. et al. | 2006
- 4 pp.
-
Analysis and evaluation of harmonic distortion in the tunnel diode oscillatorPalumbo, G. / Pennisi, M. / Pennisi, S. et al. | 2006
- 4 pp.
-
Design on LVDS receiver with new delay-selecting technique for UXGA flat panel display applicationsMing-Dou Ker, / Chien-Hua Wu, et al. | 2006
- 4 pp.
-
A 6.57 mW ZigBee transceiver for 868/915 MHz bandChua-Chin Wang, / Jian-Ming Huang, / Chih-Yi Chang, / Kuang-Ting Cheng, / Chih-Peng Li, et al. | 2006
- 4 pp.
-
A frequency synthesizer realized by a transformer-based voltage-controlled oscillator for IEEE 802.11a/b/g channelsMeng-Ting Tsai, / Ching-Yuan Yang, et al. | 2006
- 4 pp.
-
5.2 GHz self-powered lock and roll radio using VCO injection-locking and on-chip antennasPopplewell, P.H.R. / Karam, V. / Shamim, A. / Rogers, J. / Cloutier, M. / Plett, C. et al. | 2006
- 4 pp.
-
Approximately linear-phase recursive digital filters with variable magnitude characteristicsYli-Kaakinen, J. / Saramaki, T. et al. | 2006
- 4 pp.
-
Multimode digital SMPS controller IC for low-power managementRahman, N. / Parayandeh, A. / Kun Wang, / Prodic, A. et al. | 2006
- 4 pp.
-
Exact minimum-width multi-row transistor placement for dual and non-dual CMOS cellsIizuka, T. / Ikeda, M. / Asada, K. et al. | 2006
- 4 pp.
-
Complete Kernel Fisher discriminant analysis of Gabor features with fractional power polynomial models for face recognitionJun-Bao Li, / Jeng-Shyang Pan, / Zhe-Ming Lu, / Jung-Chou Harry Chang, et al. | 2006
- 4 pp.
-
Performance of chaotic switching noise injected to Hopfield NN for quadratic assignment problemTada, Y. / Uwate, Y. / Nishio, Y. et al. | 2006
- 4 pp.
-
Frame-level data reuse for motion-compensated temporal filteringChing-Yeh Chen, / Yi-Hau Chen, / Chih-Chi Cheng, / Liang-Gee Chen, et al. | 2006
- 4 pp.
-
Automatic procedure generating noise models for discrete-time applicationsLewis, N. / Monnerie, G. / Lewis, L. / Sabatier, J. / Melchior, P. et al. | 2006
- 4 pp.
-
Parametric estimation of nonlinear systems through sequences designed using DNA computationShanmugam, S.K. / Leung, H. et al. | 2006
- 4 pp.
-
Low power binary addition using carry increment addersGrad, J. / Stine, J.E. et al. | 2006
- 4 pp.
-
Is there life after bit error rate or before?Lawrance, A.J. et al. | 2006
- 4 pp.
-
Low-complexity adaptive array for DS/CDMA code acquisitionHua-Lung Yang, / Wen-Rong Wu, et al. | 2006
- 4 pp.
-
A comparison of output envelope waveforms of the delta-sigma modulated class D series resonant inverterKoizumi, H. / Kurokawa, K. / Mori, S. et al. | 2006
- 4 pp.
-
Set-membership affine projection algorithm with variable data-reuse factorWerner, S. / Diniz, P.S.R. / Moreira, J.E.W. et al. | 2006
- 4 pp.
-
Low power architectures using localised non-volatile memory and selective power shut-downSecareanu, R.M. / Hartin, O. et al. | 2006
- 4 pp.
-
A novel effective bandpass semi-MASH sigma-delta modulator with double-sampling mismatch-free resonatorChon-In Lao, / Seng-Pan U, / Martins, R.P. et al. | 2006
- 4 pp.
-
Improved differential coefficients-based low power FIR filters. Part I. FundamentalsVinod, A.P. / Singla, A. / Chip-Hong Chang, et al. | 2006
- 4 pp.
-
An efficient mechanism to provide full visibility for hardware debuggingWei-Hsiang Cheng, / Chin-Lung Chuang, / Chien-Nan Jimmy Liu, et al. | 2006
- 4 pp.
-
FPGA implementation of FIR filter using M-bit parallel distributed arithmeticShiann-Shiun Jeng, / Hsing-Chen Lin, / Shu-Ming Chang, et al. | 2006
- 4 pp.
-
Adaptive exposure control and real-time image fusion for surveillance systemsWen-Chung Kao, / Chien-Chih Hsu, / Chih-Chung Kao, / Shou-Hung Chen, et al. | 2006
- 4 pp.
-
Texture segregation employing orientation-selective analog multi-chip vision systemShimonomura, K. / Yagi, T. et al. | 2006
- 4 pp.
-
Normal flow measurement visual motion sensorMehta, S. / Etienne-Cummings, R. et al. | 2006
- 4 pp.
-
Model compatibility aspects in multilingual simulation environmentsPopescu, G. / Goldgeisser, L. et al. | 2006
- 4 pp.
-
A 3.0V 12b 120 Msample/s CMOS pipelined ADCSang-Min Yoo, / Tae-Hwan Oh, / Ho-Young Lee, / Kyung-Ho Moon, / Jae-Whui Kim, et al. | 2006
- 4 pp.
-
Hybrid silicon/silicone (polydimethylsiloxane) microsystem for cell cultureChristen, J.B. / Andreou, A.G. et al. | 2006
- 4 pp.
-
High SNR capacitive sensing transducerSheng-Yu Peng, / Qureshi, M.S. / Hasler, P.E. / Hall, N.A. / Degertekin, F.L. et al. | 2006
- 4 pp.
-
Simulation of the nano electronic single-electron transistor and the nanoelectronic C-NOT single-electron gateZardalidis, G.T. et al. | 2006
- 4 pp.
-
VBR video delivery under constrained resources using motion-aware optimal frame selectionDayong Tao, / Jianfei Cai, et al. | 2006
- 4 pp.
-
Retransmission-based error spreading for layered video streaming over wireless LANsHao Liu, / Wenjun Zhang, / Xiaokang Yang, et al. | 2006
- 4 pp.
-
On deployment of overlay network for live video streamingYun Tang, / Lifeng Sun, / Meng Zhang, / Shiqiang Yang, / Yuzhuo Zhong, et al. | 2006
- 4 pp.
-
Nondestructive durian maturity determination by using microwave free space measurementThitipan Rutpralom, / Kosin Chamnongthai, / Pinit Kumhom, / Monai Krairiksh, et al. | 2006
- 4 pp.
-
PSRR improvement technique for amplifiers with Miller capacitorLoikkanen, M. / Rostamovaara, J. et al. | 2006
- 4 pp.
-
A low-noise microsensor amplifier with automatic gain control systemJun-Hong Weng, / Chong-Jng Yu, / Ching-Yuan Yang, / Peng-Chang Yang, et al. | 2006
- 4 pp.
-
A new construction algorithm of visual crytography for gray level imagesYuan Tai Hsu, / Long Wen Chang, et al. | 2006
- 4 pp.
-
ECG compression using multiscale recurrent patterns with period normalizationFilho, E.B.L. / da Silvat, E.A.B. / Junior, W.S.S. / de Carval, M.B. et al. | 2006
- 4 pp.
-
A new Kalman filter-based power spectral density estimation for nonstationary pressure signalsZhang, Z.G. / Lau, W.Y. / Chan, S.C. et al. | 2006
- 4 pp.
-
Low power high linearity transmitter front-end for 900 MHz Zigbee applicationsLe Viet Hoang, / Nguyen Trung Kien, / Sok-Kyun Han, / Sang-Gug Lee, / Hyun, S.B. et al. | 2006
- 4 pp.
-
Injection-lock dynamics in non-harmonic oscillatorsReddy Gangasani, G. / Kinget, P. et al. | 2006