Step and repeat photo-nanoimprint system using active orientation head (English)
- New search for: Hiroshima, H.
- New search for: Komuro, M.
- New search for: Kurashima, Y.
- New search for: Kim, S.
- New search for: Muneishi, T.
- New search for: Hiroshima, H.
- New search for: Komuro, M.
- New search for: Kurashima, Y.
- New search for: Kim, S.
- New search for: Muneishi, T.
In:
Digest of Papers Microprocesses and Nanotechnology 2003. 2003 International Microprocesses and Nanotechnology Conference
;
56-57
;
2003
-
ISBN:
- Conference paper / Electronic Resource
-
Title:Step and repeat photo-nanoimprint system using active orientation head
-
Contributors:Hiroshima, H. ( author ) / Komuro, M. ( author ) / Kurashima, Y. ( author ) / Kim, S. ( author ) / Muneishi, T. ( author )
-
Published in:
-
Publisher:
- New search for: IEEE
-
Publication date:2003-01-01
-
Size:123097 byte
-
ISBN:
-
DOI:
-
Type of media:Conference paper
-
Type of material:Electronic Resource
-
Language:English
-
Source:
Table of contents conference proceedings
The tables of contents are generated automatically and are based on the data records of the individual contributions available in the index of the TIB portal. The display of the Tables of Contents may therefore be incomplete.
- 2
-
Technology roadmap and beyondNishi, Y. et al. | 2003
- 4
-
Overview of nanotechnology and TND in KoreaJo-Won Lee, et al. | 2003
- 6
-
Impact of mask enhancer on 65-nm node contactsMisaka, A. / Matsuda, T. / Yuito, T. / Matsuo, T. / Koizumi, T. / Watanabe, H. / Sasago, M. et al. | 2003
- 8
-
An innovative method for contact hole printing with binary mask and single exposureYamazoe, K. / Saitoh, K. / Suzuki, A. et al. | 2003
- 10
-
Patterning strategy for random contact holes in ultra-low k1 lithography conditionMimotogi, S. / Nakamura, H. / Shiobara, E. / Miyazaki, M. / Inoue, S. et al. | 2003
- 12
-
Application of electron projection lithography to contacts in 65 nm node and beyondIkeda, J. / Yamada, A. / Shimizu, S. / Hirayanagi, N. / Suzuki, K. et al. | 2003
- 14
-
Most efficient alternative as a way of sub-80 nm contact holes and trenches formationJung Hwan Hah, / Jin-Young Yoon, / Hata Mitsuhiro, / Hyun-Woo Kim, / Sang-Gyun Woo, / Han-Ku Cho, / Woo-Sung Han, et al. | 2003
- 16
-
Fabrication of 65 nm hole pattern in 157 nm lithographyKawaguchi, E. / Watanabe, K. / Kurose, E. / Furukawa, T. / Itani, T. et al. | 2003
- 18
-
In-situ observation of formation process of self-assembled Si islands on buried SiO2 and their crystallographic structuresIkeda, H. / Ishikawa, Y. / Homma, Y. / Tabe, M. et al. | 2003
- 20
-
Growth of silicon nanocrystals with high number density for floating dot memoryNaito, S. / Satake, M. / Kondo, H. / Sakashita, M. / Sakai, A. / Zaima, S. / Yasuda, Y. et al. | 2003
- 22
-
Characterization of electronic transport through Si dot with Ge core using AFM conducting probeDarma, Y. / Miyazaki, S. et al. | 2003
- 24
-
Nano-scale device modeling and simulation: FinFETKidong Kim, / Ohseob Kwon, / Jihyun Seo, / Taeyoung Won, et al. | 2003
- 26
-
Fabrication of combined structure of silicon single-electron pump with an extra island and MOSFET charge detectorSang Jin Kim, / Ono, Y. / Takahashi, Y. / Jung Bum Choi, et al. | 2003
- 28
-
Optical properties of In/sub 0.8/Ga/sub 0.2/As self-assembled quantum dots on SiO/sub 2/-patterned [001] vicinal GaAs substratesHyo Jin Kim, / Motohisa, J. / Fukui, T. et al. | 2003
- 30
-
Potentials of immersion lithographySuzuki, A. et al. | 2003
- 32
-
The magnitude of potential exposure tool induced CD and OL errors in the double exposure dipole (DDL) for 65 nm and 45 nm technology nodesTsann-Bim Chiou, / Shih-En Tseng, / Chen, A.C. et al. | 2003
- 34
-
CD shrink process with cure and bakeSasaki, S. / Watanabe, M. / Taguchi, T. et al. | 2003
- 36
-
Quantitative evaluation of grid size effect on CD uniformity improvementDoo-Youl Lee, / Sung-Woo Lee, / Gi-Sung Yeo, / Jung-Hyeon Lee, / Han-Ku Cho, / Woo-Sung Han, et al. | 2003
- 38
-
Characterized optical constants of thin films for vacuum ultraviolet lithography applicationsFan, W. / Chen, H.L. / Wu, C.L. / Chang, L.K.S. et al. | 2003
- 40
-
Low temperature, atmospheric pressure plasma processing of materialsHicks, R.F. / Xiawan Yang, / Mooravej, M. / Nowling, G. / Babayan, S. et al. | 2003
- 42
-
Metal filling in deep nano trenches/holes using supercritical carbon dioxideKondoh, E. et al. | 2003
- 44
-
Autocloning Ta/sub 2/O/sub 5//SiO/sub 2/ multilayer deposition by reactive ECR sputtering for photonic crystalsTakahashi, C. / Kaneko, T. / Kohno, M. / Sato, K. / Itomura, D. / Yoshihara, H. et al. | 2003
- 46
-
Use of plasma treatment in the sol-gel process of ferroelectric (Bi,La )/sub 4/Ti/sub 3/O/sub 12/ thin films for crystalline orientation controlSugita, N. / Boku, S. / Tokumitsu, E. et al. | 2003
- 48
-
Microcrystalline silicon thin film formation using pulse modulated microwave plasmaHomma, K. / Yamamoto, M. / Hori, M. / Goto, T. et al. | 2003
- 50
-
Residence time effect on the properties of low-k a-C:F films by PECVDTokimitsu, T. / Watanable, H. / Shinga, J. / Okamoto, T. / Haneji, N. / Shimogaki, Y. et al. | 2003
- 52
-
New development of nanoimprint technologyGuo, L.J. et al. | 2003
- 54
-
Organic photonic crystal band edge laser fabricated by direct nanoprintingYokoo, A. / Suzuki, H. / Notomi, M. et al. | 2003
- 56
-
Step and repeat photo-nanoimprint system using active orientation headHiroshima, H. / Komuro, M. / Kurashima, Y. / Kim, S. / Muneishi, T. et al. | 2003
- 58
-
Electrical resistivity evaluation of air-wiring formed in nano-space by focused-ion-beam chemical vapor depositionMorita, T. / Kometani, R. / Watanabe, K. / Kanda, K. / Haruyama, Y. / Kondo, K. / Hoshino, T. / Kaito, T. / Fujita, J. / Ichihashi, T. et al. | 2003
- 60
-
Realization and characterization of magnetic media deposited on side edge of patterned silicon dot arraysLandis, S. / Bayle, P. / Rodmacq, B. / Baltz, V. / Dieny, B. et al. | 2003
- 62
-
Fabrication of ultra high density ferromagnetic column arrays by porous alumina template for magnetic recording mediaShimizu, T. / Morimoto, K. / Nagayanagi, M. / Fujii Y, / Yaegashi, O. / Wu, G.R. / Sakaue, H. / Takahagi, T. / Shingubara, S. et al. | 2003
- 64
-
Short develop time process: effect of develop method and application of novel develop systemSanada, M. / Tamada, O. / Harumoto, M. et al. | 2003
- 66
-
Reduction in roughness of resist features in PMMA due to the absence of rinsing stepKhalid, M.N. / Yasin, S. / Hasko, D.G. / Ahmed, H. et al. | 2003
- 68
-
A detection method for a T-topped profile in resist patterns by top-down-view CD-SEMYamaguchi, A. / Fukuda, H. / Komuro, O. / Yoneda, S. / Iizumi, T. et al. | 2003
- 70
-
Polymer screening method for chemically amplified electron beam and X-ray resistsYamamoto, H. / Nakano, A. / Okamoto, K. / Kozawa, T. / Tagawa, S. et al. | 2003
- 72
-
High performance carbon-nanotube field-effect transistorsNihey, F. / Hongo, H. / Ochiai, Y. / Yudasaka, M. / Iijima, S. et al. | 2003
- 74
-
Nanoscale variable resistance using interlayer sliding of multiwall nanotubeAkita, S. / Nakayama, Y. et al. | 2003
- 76
-
Graphitized wavy traces of iron particles observed in amorphous carbon nano-pillarsFujita, J. / Ishida, M. / Ichihashi, T. / Ochiai, Y. / Kaito, T. / Matsui, S. et al. | 2003
- 78
-
Fabrication of integrated carbon nanotube field emitter with extraction electrodeOota, S. / Kawata, H. / Yasuda, M. / Pan, L. / Akita, S. / Nakayama, Y. et al. | 2003
- 80
-
Monte Carlo simulation study of local critical dimension error on mask and waferByoungsup Ahn, / Joon-Soo Park, / Seong-Woon Choi, / Jung-Min Sohn, et al. | 2003
- 82
-
Prediction of high NA ArF lithography capabilities for 70 nm technology node using simulationByung-Cheol Cha, / Yeong-Keun Kwon, / Jun-Taek Park, / Hye-Keun Oh, et al. | 2003
- 84
-
The extraction of development parameters by using cross-sectional critical shape error methodHyoung-Hee Kim, / Jun-Tack Park, / Jung-Wook Choi, / Insin An, / Hye-Keun Oh, et al. | 2003
- 86
-
Fabrication of absorber-embedded in membrane type deep X-ray exposure mask with wide exposure area made with Si substrateFujimura, T. / Ikeda, A. / Etoh, S. / Hattori, R. / Kuroki, Y. / Hidaka, M. / Chang, S.S. et al. | 2003
- 88
-
Verification of phase defect correctability of EUV reflective multilayerSeung Yoon Lee, / Tae Geun Kim, / Jeong Hoon An, / Byung Hee Han, / Jea Gun Park, / Ji Gon Kim, / Chang Woo Lee, / Jinho Ahn, et al. | 2003
- 90
-
Effect of EUV light scattering from the rough absorber and buffer side wallYeong-Keun Kwon, / Jun-Taek Park, / Jong-Hoi Kim, / Byung-Cheol Cha, / Seung-Wook Park, / Ilsin An, / Hye-Keun Oh, et al. | 2003
- 92
-
Structural characterization of Mo/Ru/Si EUV reflector by optical modelingIn-Yong Kang, / Tae Geun Kim, / Seung Yoon Lee, / Jinho Ahn, / Yong-Chae Chung, et al. | 2003
- 94
-
Enhance Extreme UltraViolet Lithography mask inspection contrast by using Fabry-Perot type antireflective coatingsCheng, H.C. / Chen, H.L. / Ko, T.S. / Lai, L.J. / Ko, F.H. / Chu, T.C. et al. | 2003
- 96
-
The prediction of aerial image and CD variation due to imperfect mask in extreme ultraviolet lithographyJong-Hoi Kim, / Sang-Jin Lee, / Joo-Heon Lee, / Young-Keun Kwon, / Myung-Sul Lee, / Seung-Wook Park, / Il-Sin An, / Hye-Keun Oh, et al. | 2003
- 98
-
Spot beam formation by HL-700 VSB lithography systemMorikawa, K. / Nakamura, K. / Kohsaka, N. / Kubota, H. et al. | 2003
- 100
-
Effects of the Einzel lens structure in microcolumnKim, Y.C. / Kim, D.W. / Ahn, S.J. / Choi, S.K. / Kim, D.Y. / Kim, H.S. et al. | 2003
- 102
-
Advantageous exposure of X architecture pattern when using a variable shaped beam toolDorl, W. / Boettcher, M. / Eichhorn, H.-G. / Gramss, J. / Hahmann, P. / Lemke, M. / Schnabel, B. / Weidenmueller, U. et al. | 2003
- 104
-
Evaluation of mask soaking performance in the thermal stabilized vacuum chamber in an EB mask writerMitsui, S. / Akeno, K. / Ogasawara, M. / Tojo, T. et al. | 2003
- 106
-
Applications of polysilanes for a negative-tone resist in ion beam lithographyMatsui, Y. / Seki, S. / Tsukuda, S. / Kozawa, T. / Tagawa, S. et al. | 2003
- 108
-
The impact of latent image quality on line edge roughness in electron beam lithographyYoshizawa, M. / Moriya, S. / Nakano, H. / Morita, T. / Kitagawa, T. / Miyamoto, Y. et al. | 2003
- 110
-
Application of electron-beam illuminated low-k silicate to nanoscale interconnect technologyPo-Tsun Liu, / Chang, T.C. / Lin, Z.W. / Tsai, T.M. / Chen, C.W. / Chen, B.C. / Lee, J.K. / Chen, G. / Tsai, E. / Chang, J. et al. | 2003
- 112
-
Calculation of Coulomb interaction among electrons in a high current electron beam exposure systemYukumoto, T. / Kotera, M. et al. | 2003
- 114
-
Influence of electron scattering on resolution in low dose electron beam lithographyNiu, H. / Kotera, M. et al. | 2003
- 116
-
Simulation of three-dimensional resist pattern deformation caused by surface tension using cell-shift modelOchiai, N. / Kotera, M. et al. | 2003
- 118
-
Ultra high resolution hybrid lithography with negative tone chemically amplified resistsLandis, S. / Pauliac, S. / Hanawa, R. / Suestsugu, M. / Akita, M. et al. | 2003
- 120
-
Effects of ester groups on proton generation and diffusion in polymethacrylate matricesNakano, A. / Okamoto, K. / Kozawa, T. / Tagawa, S. et al. | 2003
- 122
-
Porous materials with ultra-low dielectric constant as antireflective coating layers for F/sub 2/ and ArF lithographyChen, H.L. / Wang, T.J. / Liu, P.T. / Ko, F.H. / Chung, T.C. et al. | 2003
- 124
-
High-sensitive ultrathin electron beam resist based on Langmuir-Blodgett films of polycyanoacrylatePisignano, D. / Berzina, T. / Erokhin, V. / Fontana, M.P. / Visconti, P. / Cingolani, R. et al. | 2003
- 126
-
High beam current microcolumns with large aperturesPark, S.S. / Kim, D.W. / Ahn, S.J. / Kim, Y.C. / Choi, S.K. / Kim, D.Y. / Kim, H.S. et al. | 2003
- 128
-
Outgassed species from 157-nm-irradiated triphenylsulfonium saltsMatsui, Y. / Seki, S. / Tagawa, S. / Irie, S. / Itani, T. et al. | 2003
- 130
-
Patterning sub 100 nm isolated patterns with 436 nm lithographyHanmin Yao, / Yu Guobin, / Peiying Yan, / Chen Xianzhong, / Xiangang Luo, et al. | 2003
- 132
-
Patterning of GaAs by nanoelectrode lithographyYokoo, A. et al. | 2003
- 134
-
Fabrication of GI structure using nanoimprintFujimoto, A. / Asakawa, K. et al. | 2003
- 136
-
Status of Step and Flash Imprint Lithography tools and processesChoi, J. / McMackin, I. / Schumaker, P. / Van Nguyen, / Xu, F. / Babbs, D. / Sreenivasan, S.V. / Watts, M. / Schumaker, N. et al. | 2003
- 138
-
Sub 100 nm lithography based on plasmon polariton resonanceXiangang Luo, / Ishihara, T. et al. | 2003
- 140
-
Improvement of pattern shrinkage and roughness of photocurable polymer during dry etch process in photo-nanoimprint lithographySang Hoon Kim, / Hiroshima, H. / Inoue, S. / Komuro, M. et al. | 2003
- 142
-
Imprint-photo hybrid lithography with conventional contact alignerKawata, H. / Hirai, Y. / Kikuta, H. et al. | 2003
- 144
-
Nanoimprint mold repair by Ga/sup +/ focused-ion-beamWatanabe, K. / Morita, T. / Kometani, R. / Kanda, K. / Haruyama, Y. / Kaito, T. / Matsui, S. et al. | 2003
- 146
-
Thermal imprinting stepper consisting of rapid mold temperature control systemKishi, H. / Yoshioka, H. / Jianguo, Y. / Sumiyoshi, N. / Goto, H. / Murakoshi, Y. / Maeda, R. et al. | 2003
- 148
-
Ink-jet patterning technology for microelectronics systemShimoda, T. et al. | 2003
- 150
-
Application of laser scan lithography to fabrication of micro cylindrical partsJoshima, Y. / Kokubo, T. / Horiuchi, T. et al. | 2003
- 152
-
Evaporated electron beam lithography resist for non-planar surfacesLavallee, E. / Beauvais, J. / Drouin, D. / Kelkar, P. / Yang, P. / Turcotte, D. / Cloutier, M. / Legario, R. et al. | 2003
- 154
-
3D microstructure fabrication for a dot array micro-optics using synchrotron radiationUtsumi, Y. / Minamitani, M. / Hattori, T. et al. | 2003
- 156
-
Fabrication of mold master for spiral micro-coil utilizing X-ray lithography of synchrotron radiationMekaru, H. / Kusumi, S. / Sato, N. / Yamashita, M. / Shimada, O. / Hattori, T. et al. | 2003
- 158
-
65 nm device manufacture using shaped E-Beam lithographyPain, L. / Charpin, M. / Laplanche, Y. / Todeschini, J. / Leininger, H. / Tourniol, S. / Faure, R. / Bossy, X. / Palla, R. / Beverina, A. et al. | 2003
- 160
-
3D proximity effect correction for multi-layer structures in EB lithographyOgino, K. / Hoshino, H. / Machida, Y. / Osawa, M. / Arimoto, H. / Maruyama, T. / Kawamura, E. et al. | 2003
- 162
-
5-nm-order electron-beam lithography for nanodevice fabricationYamazaki, K. / Namatsu, H. et al. | 2003
- 164
-
Development of electron beam recorder with a /spl theta/-stageKojima, Y. / Sato, M. / Kasono, O. / Katsumura, M. / Iida, T. et al. | 2003
- 166
-
Design and fabrication of lenses on the top of an optical fiber for efficient fiber-to-waveguide coupling by means of Focus Ion Beam (FIB) lithographySchiappelli, F. / Prasciolu, M. / Cojoc, D. / Cabrini, S. / Di Fabrizio, E. et al. | 2003
- 170
-
Fabrication of nickel electroplated cantilever-type MEMS probe card with through-hole interconnectionBong-Hwan Kim, / Sang-Jun Park, / Dong-Il Cho, / Kukjin Chun, et al. | 2003
- 172
-
Fabrication of 3-Dimensional Cu coaxial cable using porous silicon MEMS technologyDuk-Soo Eun, / Young-Min Kim, / Su-Lack Jung, / Jang-Kyoo Shin, / Jong-Hyun Lee, et al. | 2003
- 174
-
New out-of-plane light attenuation scheme based on surface micromachined pop-up mirror and movement translation micromechanismChengkuo Lee, / Yu-Shen Lin, / Yen-Jyh Lai, / Ming Hung Tasi, / Chihchung Chen, / Chia-Yu Wu, / Ruey-Shing Huang, et al. | 2003
- 176
-
Fundamental study on "phonon-band engineering" to design artificial materials for NEMSKojima, H. / Minato, M. / Kanayama, T. / Hayashi, K. et al. | 2003
- 178
-
Silicon diffractive microlens for compact laser modulesUekawa, M. / Sekikawa, R. / Shimura, D. / Kotani, K. / Maeno, Y. / Sasaki, H. / Takamori, T. et al. | 2003
- 180
-
Bi-directional movable latched micromechanism using one-directional movable Chevron electrothermal actuators for switch and relay applicationsWen-Chih Chen, / Chia-Yu Wu, / Chengkuo Lee, et al. | 2003
- 182
-
A via hole based superconducting wiring method for enhanced X-ray image sensorsKudo, H. / Ohtsuka, S. / Arakawa, T. / Izumi, T. / Shoji, S. / Sato, H. / Kobayashi, H. / Mori, K. / Homma, T. / Osaka, T. et al. | 2003
- 184
-
Photovoltaic effect of PLZT in a layered film structure and its application to ultraviolet sensingIchiki, M. / Morikawa, Y. / Nonaka, K. / Nakada, T. / Endo, C. / Maeda, R. et al. | 2003
- 186
-
Characteristics and modeling of a non-planar and non-rectangular MOSFET for charge sensing in the Si micro-fluidic channelHong-Kun Lyu, / Dong-Sun Kim, / Hey-Jung Park, / Hwan-Mok Jung, / Jang-Kyoo Shin, / Pyung Choi, / Jong-Hyun Lee, / Minho Lee, / Geunbae Lim, et al. | 2003
- 188
-
Increased emission efficiency of gated cold cathode with carbonic nano-pillarsYoshida, T. / Baba, A. / Asano, T. et al. | 2003
- 190
-
Planar electrostatic inkjet device with gate electrodesMatsuzaki, K. / Ishida, Y. / Yoshida, A. / Baba, A. / Asano, T. et al. | 2003
- 192
-
High density electron emission from graphite nano-structure fabricated by hydrogen plasma etching and its application to high intensity pulse X-ray generationMatsumoto, T. / Mimura, H. et al. | 2003
- 194
-
Room-temperature operation of Coulomb blockade fabricated by the nanostructure of self-assembly gold particles utilizing DNA hybridizationChen, C.-C. / Fu-Hsiang Ko, / Tsai, C.-Y. / Tsai, Y.-H. / Pun, C.-C. / Chen, P.-H. / Chan, B. / Luh, T.-Y. et al. | 2003
- 196
-
Multiple flow switch using stepwise sheath flowKanai, M. / Otsuka, S. / Nakanishi, H. / Shoji, S. et al. | 2003
- 198
-
Fabrication of 3-D microreactor structures embedded in photosensitive glass by femtosecond laserMasuda, M. / Sugioka, K. / Cheng, Y. / Hongo, T. / Shihoyama, K. / Takai, H. / Miyamoto, I. / Midorikawa, K. et al. | 2003
- 200
-
Fabrication of cylinder type micro channel using photoresist reflow and isotropic etchingChang-Hyun Bae, / Chang-Taeg Seo, / Jong-Hyun Lee, et al. | 2003
- 202
-
FET-based biomolecular sensor employing Pt reference electrode for the detection of DNA sequenceDong-Sun Kim, / Hey-Jung Park, / Hwan-Mok Jung, / Jang-Kyoo Shin, / Pyung Choi, / Jong-Hyun Lee, / Kyutae Yoo, / Geunbae Lim, et al. | 2003
- 204
-
The potential for an all-optically assembled, powered and controlled micro-fluidic lab-on-a-chip systemGluckstad, J. / Daria, V.R. / Rodrigo, P.J. et al. | 2003
- 206
-
Characterization of dipalmitoylphosphatidylcholine (DPPC)/cholesterol Langmuir-Blodgett monolayers by AFM and FT-IRKim Yong Hoon, / Takizawa, M. / Urisu, T. et al. | 2003
- 208
-
Field emission properties of carbon nanotubes prepared by MPCVD methodJyh-Hua Ting, / Ching-Chou Chang, / Chun-Tao Lee, / Tsung-Lung Li, / Chung-Yuan Kung, et al. | 2003
- 210
-
Molecular dynamics study on double wall carbon nano tube for nano mechanical manipulationKimoto, Y. / Mikami, T. / Mori, H. / Akita, S. / Nakayama, Y. / Hirai, Y. et al. | 2003
- 212
-
Solution-based silver nanowires filling into carbon nanotubes by microwave heating technologyChung-Yang Lee, / Chu-Jung Ko, / Fu-Hsiang Ko, / Hsuen-Li Chen, / Tieh-Chi Chu, et al. | 2003
- 214
-
High efficiency purification method for multi-walled carbon nanotubesChu-Jung Ko, / Chung-Yang Lee, / Fu-Hsiang Ko, / Chen, H.L. / Chu, T.C. et al. | 2003
- 216
-
Formation of electrical interconnects by self-trapping of DNA moleculesHwang, J.S. / Hwang, S.W. / Ahn, D. et al. | 2003
- 218
-
Control cell behavior on physical topographical surfaceWen-Ta Su, / I-Ming Chu, / Jung-Yen Yang, / Chun-Kai Huang, et al. | 2003
- 220
-
Synthesis of silver nanorods by microwave irradiationFu-Ken Liu, / Yu-Cheng Chang, / Fu-Hsiang Ko, / Tieh-Chi Chu, et al. | 2003
- 222
-
Fabrication of molecular photoelectronic device using polysilane nanowiresTsukuda, S. / Seki, S. / Saeki, A. / Kozawa, T. / Tagawa, S. / Sugimoto, M. / Idesaki, A. / Tanaka, S. et al. | 2003
- 224
-
Patterning of self-assembled nanoparticles by electron-beam lithography with chemically amplified resistsKuo, C.I. / Chen, H.L. / Chu, Y.H. / Liu, F.K. / Ko, F.H. / Chu, T.C. et al. | 2003
- 226
-
Ab-initio investigation of the early stage of nano-scale thin film growth: Co and Al adatoms on Co (111) surfaceChiho Kim, / Sang-Pil Kim, / Yong-Chae Chung, et al. | 2003
- 228
-
Molecular dynamics simulation of the early stage of thin film deposition: Al and Co on Co(111)Sang-Pil Kim, / Seung-Cheol Lee, / Kwang-Ryeol Lee, / Yong-Chae Chung, et al. | 2003
- 230
-
Time evolution of Si-2p photoemission and SiO desorption during oxidation of Si(001) induced by supersonic O/sub 2/ molecular beamsTeraoka, Y. / Yoshigoe, A. / Moritani, K. / Hachiue, S. et al. | 2003
- 232
-
Observation of spin-dependent tunnel conductance by spin-polarized scanning tunneling microscopy using Ni tipsMurahara, D. / Kobayashi, Y. / Yamaguchi, U. et al. | 2003
- 234
-
Ge dot array formation using small convex position anchorsKitayama, D. / Yoshizawa, T. / Suda, Y. et al. | 2003
- 236
-
Electrical characterization of InAs/InP self-assembled quantum dots by deep level transient spectroscopyKim, E.K. / Kim, J.S. / Hwang, H. / Park, K. / Yoon, E. / Kim, J.H. / Park, I.-W. / Park, Y.J. et al. | 2003
- 238
-
Effects of InGaAs insertion layer on the properties of high-density InAs/AlAs quantum dotsPark, S. / Tatebayashi, J. / Arakawa, Y. et al. | 2003
- 240
-
Electrical field analysis of nanoscale field effect transistorsBoudjella, A. / Jin, Z. / Savaria, Y. et al. | 2003
- 242
-
3D simulation of the effect of e-beam lithography induced line-edge roughness on 50 nm NMOS Id-Vg characteristicsScheiblin, P. / Foucher, J. et al. | 2003
- 244
-
Process and device simulation based on atomistic and quantum mechanical approach in the regime of sub-50 nm gate lengthOhseob Kwon, / Kidong Kim, / Jihyun Seo, / Chiok Hwang, / Taeyoung Won, et al. | 2003
- 246
-
Development of vacuum environment compatible nano-probe systemKosaka, K. / Iwabuchi, T. / Kosaka, T. / Baba, T. / Okudera, S. / Takaki, K. / Maeda, Y. / Imura, F. / Nakada, A. / Kubota, H. et al. | 2003
- 248
-
Study on wet-etching of PZT thin filmKelu Zheng, / Jian Lu, / Jiaru Chu, et al. | 2003
- 250
-
Synchrotron radiation effect on the surface properties of synthetic organic polymersKato, Y. / Kanda, K. / Haruyama, Y. / Matsui, S. et al. | 2003
- 252
-
Improvement of holographic grating efficiency in Ag/AsGeSeS double layerJeong-Il Park, / Hyun-Yong Lee, / Young-Jong Lee, / Hong-Bay Chung, et al. | 2003
- 254
-
Shrinking of spin-on-glass films induced by synchrotron radiation and its application to the 3-D microfabricationsRahman, M.M. / Tero, R. / Urisu, T. et al. | 2003
- 256
-
Nucleation of tungsten by chemical vapor deposition from WF/sub 6/ and SiH/sub 4/Kajikawa, Y. / Tsumura, T. / Noda, S. / Komiyama, H. / Shimogaki, Y. et al. | 2003
- 258
-
Etching characteristics of Ta using BCl/sub 3//Cl/sub 2//Ar inductively coupled plasmaLee, Y.S. / Na, S.W. / Song, S.G. / Kim, Y.M. / Lee, N.-E. / Ahn, J.H. et al. | 2003
- 260
-
Plasma resistance and behavior of polybenzoxazine polymerJem-Kun Chen, / I-Kuang Lin, / Fu-Hsiang Ko, / Feng-Chih Chang, / Kuo-Shen Chen, et al. | 2003
- 262
-
Patterning of self-assembly surfactant templated nanoporous silica thin film as an ultra low-k dielectricCho, A.T. / Pan, F.M. / Yen, C.W. / Chen, J.Y. / Chen, Y.J. / Chao, K.J. et al. | 2003
- 264
-
Application of ozone ashing dry technology in the fabrication of mesoporous silica film with ultra-low dielectric constant and high mechanical stabilityCho, A.T. / Pan, F.M. / Yen, C.W. / Chen, J.Y. / Chen, Y.J. / Chao, K.J. et al. | 2003
- 266
-
Ablation of organic polymers by direct exposure to radiation from a laser plamsa X-ray sourceFiedorowicz, H. / Bartnik, A. / Juha, L. / Krasa, J. / Kubat, P. / Mikolajczyk, J. / Rakowski, R. et al. | 2003
- 268
-
Incident angle dependence of O/sub 2/ cluster ions on Ta/sub 2/O/sub 5/ thin film propertiesInoue, S. / Fujiwara, Y. / Toyoda, N. / Yamada, I. / Tsubakino, H. et al. | 2003
- 270
-
Studies on the mechanism of high resolution and high aspect ratio in DFVPTingting Wen, / Bin Zhang, / Peiqing Wang, et al. | 2003
- 272
-
Spatial profile of neutral free radical beam produced by the method of phot-deionization of negative ion beamsKonno, H. / Oseki, T. / Kanayama, T. / Hayashi, K. et al. | 2003
- 274
-
The future of EUV lithographyKemp, K. et al. | 2003
- 276
-
Progress of a laser-produced-plasma light source for EUV lithographyKomori, H. / Abe, T. / Suganuma, T. / Imai, Y. / Someya, H. / Hoshino, H. / Nakano, M. / Soumagne, G. / Takabayashi, Y. / Mizoguchi, H. et al. | 2003
- 278
-
Correlation between printability and visibility of defects in EUV mask blanksIto, M. / Tezuka, Y. / Terasawa, T. / Tomie, T. et al. | 2003
- 280
-
Cleaning of EUVL masks using 172-nm and 13.5-nm radiationHamamoto, K. / Watanabe, T. / Sakaya, N. / Hosoya, M. / Shoki, T. / Hada, H. / Hishinuma, N. / Sugawara, H. / Kinoshita, H. et al. | 2003
- 282
-
Millions of cantilevers and atomic force microscopy up to 100 MHzKawakatsu, H. et al. | 2003
- 284
-
Characteristics of nano-electrostatic actuator fabricated by FIB-CVDKometani, R. / Morita, T. / Watanabe, K. / Hoshino, T. / Kondo, K. / Kanda, K. / Haruyama, Y. / Kaito, T. / Fujita, J. / Ishida, M. et al. | 2003
- 286
-
Fabrication of corrugated curved beam type electrostatic actuator and SDA driven self-assembling mechanism for VOA applicationsYen-Jyh Lai, / Chengkuo Lee, / Chia-Yu Wu, / Yu-Shen Lin, / Ming Hung Tasi, / Ruey-Shing Huang, / Min-Shyong Lin, et al. | 2003
- 288
-
Resist outgassing characteristics in EUVLWatanabe, T. / Hamamoto, K. / Kinoshita, H. / Hada, H. / Komano, H. et al. | 2003
- 290
-
Double gratings lateral shearing interferometer for EUVLLiu, Z.Q. / Zhu, Y. / Sugisaki, K. / Ishii, M. / Murakami, K. / Saito, J. / Suzuki, A. / Hasegawa, M. et al. | 2003
- 292
-
Modeling clear phase-mask materials for sub-50 nm X-ray applicationMalueg, D.H. / Cerrina, F. / Taylor, J.W. et al. | 2003
- 294
-
Multiple optical trapping by means of diffractive optical elementsCojoc, D. / Cabrini, S. / Ferrari, E. / Malureanu, R. / Di Fabrizio, E. et al. | 2003
- 296
-
A low power SOI MOSFET photodetector with a nanometer scale wire for highly integrated circuitHong Goo Choi, / Yeon-Shik Choi, / Young Chang Jo, / Hoon Kim, et al. | 2003
- 298
-
Fabrication of poly silicon field emitter arrays with hafnium carbide coating for TFT controlled field emission displaysNagao, M. / Sacho, Y. / Sato, T. / Matsukawa, T. / Kanemaru, S. / Itoh, J. et al. | 2003
- 300
-
Field electron emission from carbon black prepared by inkjet printingBaba, A. / Yoshida, T. / Matsuzaki, K. / Ishida, Y. / Asano, T. et al. | 2003
- 302
-
Electrostatic inkjet head fabricated by anodization of SiIshida, Y. / Matsuzaki, K. / Baba, M. / Asano, T. et al. | 2003
- 304
-
Development of a three-dimensional electron microscope for stereoscopic observation of nano-structuresKakibayashi, H. et al. | 2003
- 306
-
Measurement of shallow dopant profile using scanning capacitance microscopyGoragot, W. / Takai, M. et al. | 2003
- 308
-
Alignment offset analyzer against Wafer Induced Shift (WIS)Ina, H. / Matsumoto, T. / Sentoku, K. et al. | 2003
- 310
-
Analyses of alignment measurement errorSugaya, A. et al. | 2003
- 312
-
A Monte Carlo calculation of secondary electron emission from organic compoundsNobuo, T. / Yasuda, M. / Kawata, H. et al. | 2003
- 314
-
Laser plasma light source based on a gas puff target for EUV metrology applicationsFiedorowicz, H. / Bartnik, A. / Jarocki, R. / Kostecki, J. / Mikolajczyk, J. / Rakowski, R. / Szczurek, M. et al. | 2003
- 316
-
Integrated micro systems for DNA/protein and cell analysisTakamura, Y. / Morita, Y. / Tamiya, E. et al. | 2003
- 318
-
Development of insulated conductive probes with platinum-silicide tips for AFM in cell biologyAkiyama, T. / Gullo, M.R. / de Rooij, N.F. / Staufer, U. / Frederix, P. / Engel, A. / Tonin, A. / Hidber, H.R. et al. | 2003
- 320
-
3D cell patterning method for bio-actuated microsystem using cultured cardiomyocytesMorishima, K. / Tanaka, Y. / Ebara, M. / Shimizu, T. / Yamato, M. / Kikuchi, A. / Sato, K. / Okano, T. / Kitamori, T. et al. | 2003
- 322
-
Stepwise pattern modification of neuronal network during cultivation using photo-thermal etching of agarose architectureSugio, Y. / Moriguchi, H. / Suzuki, I. / Kaneko, T. / Yasuda, K. / Jimbo, Y. et al. | 2003
- 324
-
Developed on-chip cell sorting system based on an analysis of microscopic image and impact-free sorting for living cellsTakahashi, K. / Hattori, A. / Suzuki, I. / Ichiki, T. / Yasuda, K. et al. | 2003
- 326
-
Fabrication of a micro-biochemical reactor with thick thermal isolation layer and integrated Pt heater/sensor in the micro-wellHoon-Sung Choi, / Chang-Taeg Seo, / Young-Min Kim, / Jang-Kyoo Shin, / Pyung Choi, / Jong-Hyun Lee, et al. | 2003
- 328
-
Optimum incident angle of Ar cluster ion beam for super hard carbon film depositionKitagawa, T. / Miyauchi, K. / Toyoda, N. / Tsubakino, H. / Yamada, I. et al. | 2003
- 330
-
Electron cyclotron resonance-reactive ion etching of InGaAs/InAlAs/InP multilayer structure and GaN by cyclic injection of CH/sub 4//H/sub 2//Ar and O/sub 2/ with constant Ar flowHaneji, N. / Ide, T. / Awa, Y. / Arakawa, T. / Tada, K. / Sugiyama, M. / Shimogaki, Y. / Nakano, Y. et al. | 2003
- 332
-
Fine pattern etching of silicon substrates by using atmospheric line shaped micro plasma sourceOkumura, T. / Saitoh, M. / Matsuda, I. et al. | 2003
- 334
-
Highly selective isotropic etching processesBorel, S. / Arvet, C. / Bilde, J. / Louis, D. et al. | 2003
- 336
-
AFM cantilever array for parallel lithography of quantum devicesKakushima, K. / Watanabe, M. / Shimamoto, K. / Gouda, T. / Ataka, M. / Mimura, H. / Isono, Y. / Hashiguchi, G. / Mihara, Y. / Fujita, H. et al. | 2003
- 338
-
Fluorescence measurement of nanopillars fabricated by high aspect nanoprint technologyKuwabara, K. / Ogino, M. / Motowaki, S. / Miyauchi, A. et al. | 2003
- 340
-
Fabrication of low line edge roughness mold for photo-nanoimprintKurashima, Y. / Hiroshima, H. / Komuro, M. / Kim, S. / Yamazaki, N. / Taniguchi, J. / Miyamoto, I. / Namatsu, H. / Matsui, S. et al. | 2003
- 342
-
Bi-layer resist method for room-temperature nanoimprint lithographyNakamatsu, K. / Watanabe, K. / Tone, K. / Katase, T. / Hattori, W. / Ochiai, Y. / Matsuo, T. / Sasago, M. / Namatsu, H. / Komuro, M. et al. | 2003
- 344
-
Simulation of polymer deformation for various shaped patterns in thermal nano imprint lithographyHirai, Y. / Yoshida, S. / Konishi, T. et al. | 2003
- 346
-
Author index| 2003
-
Digest of Papers Microprocesses and Nanotechnology 2003. 2003 International Microprocesses and Nanotechnology Conference| 2003