An international journal devoted to Microelectronics and Nanometer Structures - Processing, Measurement, and Phenomena (English)
In:
Journal of vacuum science and technology / B
;
23
, 6
;
2005
-
ISSN:
- Article (Journal) / Print
-
Title:An international journal devoted to Microelectronics and Nanometer Structures - Processing, Measurement, and Phenomena
-
Published in:
-
Publisher:
- New search for: Inst.
-
Place of publication:New York, NY
-
Publication date:2005
-
ISSN:
-
ZDBID:
-
Type of media:Article (Journal)
-
Type of material:Print
-
Language:English
- New search for: 51.30 / 50.94 / 53.55 / 53.56
- Further information on Basic classification
-
Keywords:
-
Classification:
-
Source:
Table of contents – Volume 23, Issue 6
The tables of contents are generated automatically and are based on the data records of the individual contributions available in the index of the TIB portal. The display of the Tables of Contents may therefore be incomplete.
- 2261
-
Thermal-induced formation of silver nanowires on titanium dioxide thin filmsChen, Ta-Kun et al. | 2005
- 2266
-
Magnetoplasmons in a pair of armchair carbon nanotubesLee, C.H. et al. | 2005
- 2272
-
Co-gas impact of B2H6 plasma diluted with helium on the plasma doping process in a pulsed glow-discharge systemQin, Shu et al. | 2005
- 2278
-
Self-assembled tungsten nanocrystals in high-k dielectric for nonvolatile memory applicationSamanta, S.K. et al. | 2005
- 2284
-
Enhancement of electroluminescence in GaN-based light-emitting diodes using an efficient current blocking layerJang, Ho Won et al. | 2005
- 2288
-
Effects of focused gallium ion-beam implantation on properties of nanochannels on silicon-on-insulator substratesPan, A. et al. | 2005
- 2292
-
Selective growth of vertical ZnO nanowires on ZnO:Ga-Si3N4-SiO2-Si templatesHsu, Cheng-Liang et al. | 2005
- 2297
-
Tip characterization and surface reconstruction of complex structures with critical dimension atomic force microscopyDahlen, G. et al. | 2005
- 2304
-
Effect of a thin W interlayer on the thermal stability and electrical characteristics of NiSi filmHuang, Wei et al. | 2005
- 2309
-
Spatially selective immobilization of ferritin using solid-solution interfacial interactionsManning, Edward et al. | 2005
- 2314
-
Study of the buffer-layer and annealing-temperature impact on fabrication of polycrystalline Fe3O4 film for the application of spintronic devicesTang, Xiao-Li et al. | 2005
- 2319
-
Maximum achievable aspect ratio in deep reactive !on etching of silicon due to aspect ratio dependent transport and the microloading effectYeom, Junghoon et al. | 2005
- 2330
-
Ohmic contact formation mechanism of Ta-Al-Mo-Au and Ti-Al-Mo-Au metallizations on AlGaN-GaN HEMTsMohammed, Fitih M. et al. | 2005
- 2336
-
Operation of nanocrystalline silicon ballistic emitter in low vacuum and atmospheric pressuresOhta, Toshiyuki et al. | 2005
- 2340
-
Pattern-dependent microloading and step coverage of silicon nitride thin films deposited in a single-wafer thermal chemical vapor deposition chamberSmith, Jacob W. et al. | 2005
- 2347
-
Physical characterization of ZnO nanorods grown on Si from aqueous solution and annealed at various atmospheresYang, Chih-Cheng et al. | 2005
- 2351
-
Observations of interfaces in direct wafer-bonded InP-GaAs structuresLao, Yan-feng et al. | 2005
- 2357
-
Passivation effects on the stability of pentacene thin-film transistors with SnO2 prepared by ion-beam-assisted depositionKim, Woo Jin et al. | 2005
- 2363
-
Field emission of carbon nanotubes grown on carbon clothJo, S.H. et al. | 2005
- 2369
-
Effects of bonding materials in screen-printing paste on the field-emission properties of carbon nanotube cathodesShin, Heo-Young et al. | 2005
- 2373
-
Characterization of bulk GaN rectifiers for hydrogen gas sensingVoss, Lars et al. | 2005
- 2378
-
Iridium-silicon capping layer for soft x-ray and extreme ultraviolet mirrorsPrisbrey, Shon T. et al. | 2005
- 2384
-
Temperature characteristics of stress-induced migration based on atom migrationAoyagi, Minoru et al. | 2005
- 2390
-
Magnetoresistance of patterned NiFe thin films with structures modified by atomic force microscope nanolithographyWatanabe, Genta et al. | 2005
- 2394
-
Fabrication and characterization of CuO nanorods by a submerged arc nanoparticle synthesis systemLo, Chih-Hung et al. | 2005
- 2398
-
Preparation and characterization of titania nanotubes and hybrid materials derived from themLin, Yi-Jun et al. | 2005
- 2403
-
Nanoscale electron stimulated chemical vapor deposition of Au in an environmental transmission electron microscopeKetharanathan, Sutharsan et al. | 2005
- 2409
-
Bubbles in immersion lithographySwitkes, M. et al. | 2005
- 2413
-
Photosensitive quantum dot composites and their applications in optical structuresPang, Lin et al. | 2005
- 2419
-
Modeling of protection schemes for critical surfaces under low pressure conditions: Comparison between analytical and numerical approachAsbach, Christof et al. | 2005
- 2427
-
Numeric analysis of the role of liquid phase ultraviolet photochemistry in 193 nm immersion lithographyHinsberg, William et al. | 2005
- 2436
-
Barrier height enhancement and stability of the Au-n-InP Schottky barrier diodes oxidized by absorbed water vaporÇetin, H. et al. | 2005
- 2444
-
Formation of Ti-Si-N film using low frequency, high density inductively coupled plasma processEe, Y.C. et al. | 2005
- 2449
-
High-efficiency light-emitting device based on silicon nanostructures and tunneling, carrier injectionWong, Hei et al. | 2005
- 2457
-
Diamond nanorodsShenderova, O.A. et al. | 2005
- 2465
-
Various driving modes of a field emission cathodeChoi, Y.S. et al. | 2005
- 2471
-
Nanoscale fabrication in aqueous KOH solution using tribo-nanolithographyKawasegi, Noritaka et al. | 2005
- 2476
-
Wing-tilt-free gallium nitride laterally grown on maskless chemical-etched sapphire-patterned substrateWang, Jing et al. | 2005
- 2480
-
Lanthanum aluminate by atomic layer deposition and molecular beam epitaxyTriyoso, D.H. et al. | 2005
- 2486
-
Structural, electrical, and mechanical properties of nc-TiC-a-SiC nanocomposite thin filmsEklund, P. et al. | 2005
- 2496
-
Ultra small self-organized nitride nanotipsJi, L.W. et al. | 2005
- 2499
-
Reactive-ion etching of high-Q and submicron-diameter GaAs-AlAs micropillar cavitiesVaroutsis, S. et al. | 2005
- 2504
-
Design and simulation of ZnO-based light-emitting diode structuresHan, Sang Youn et al. | 2005
- 2510
-
Electrical transport of tetragonal boron nanobeltsKirihara, K. et al. | 2005
- 2514
-
Effects of doping type and concentration on precipitation of nanometer arsenic clusters in low-temperature-grown GaAsLee, W.N. et al. | 2005
- 2518
-
Acidic acrylic polymers for nanoimprint lithography on flexible substratesLiao, Wen-chang et al. | 2005
- 2522
-
Ultrathin pore-seal film by plasma enhanced chemical vapor deposition SiCH from tetramethylsilaneFuruya, Akira et al. | 2005
- 2526
-
Pulse oscillation of self-organized In0.53Ga0.47As quantum wire lasers grown on (775)B InP substrates by molecular beam epitaxyHino, H. et al. | 2005
- 2530
-
Interfacial reactions in nickel-titanium ohmic contacts to n-type silicon carbidePark, Jae Hyun et al. | 2005
- 2538
-
Investigation of surface treatment schemes on n-type GaN and Al0.20Ga0.80NSelvanathan, Deepak et al. | 2005
- 2545
-
Thickness and density evaluation for nanostructured thin films by glancing angle depositionBuzea, Cristina et al. | 2005
- 2553
-
Effects of etch barrier densification on step and flash imprint lithographyJohnson, S. et al. | 2005
- 2557
-
Phase transformations in copper oxide nanowiresHan, Sheng et al. | 2005
- 2561
-
Brief Reports and Comments - Material and electrical analysis of hafnium titania bilayer dielectric metal-oxide-semiconductor field-effect transistorsRhee, Se Jong et al. | 2005
- 2564
-
Shop Notes - Electrochemical fabrication of cobalt and nickel tips for scanning tunneling microscopyAlbonetti, Cristiano et al. | 2005
- 2577
-
Papers from the 49th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Preface| 2005
- 2578
-
Papers from the 49th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Plenary Talks - Modeling and simulation for nanometricsNeureuther, Andrew R. et al. | 2005
- 2584
-
Papers from the 49th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Plenary Talks - History of extreme ultraviolet lithographyKinoshita, Hiroo et al. | 2005
- 2589
-
Papers from the 49th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Modeling and Simulation - Electron-electron interaction induced beam displacement in a multiple electron beam systemYu, Ming L. et al. | 2005
- 2596
-
Papers from the 49th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Modeling and Simulation - Full wafer simulation of immersion fluid heatingEl-Morsi, M. et al. | 2005
- 2601
-
Papers from the 49th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Modeling and Simulation - Charting the future (and remembering the past) of optical lithography simulationMack, Chris A. et al. | 2005
- 2607
-
Papers from the 49th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Optical Lithography - Dynamic alignment control for fluid-immersion lithographies using interferometric-spatial-phase imagingMoon, Euclid E. et al. | 2005
- 2611
-
Papers from the 49th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Optical Lithography - Control of the receding meniscus in immersion lithographyBurnett, H. et al. | 2005
- 2617
-
Papers from the 49th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Optical Lithography - Direct patterning of spin-on glass with 157 nm lithography: Application to nanoscale crystal growthBloomstein, T.M. et al. | 2005
- 2624
-
Papers from the 49th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Optical Lithography - Hybrid exposure strategy: Combining e-beam direct writing with optical lithography for magnetic recording headsYang, XiaoMin et al. | 2005
- 2631
-
Papers from the 49th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Optical Lithography - Use of optical defocus components to investigate and improve pattern spatial frequency characteristics for more robust layoutsMelvin III, Lawrence S. et al. | 2005
- 2636
-
Papers from the 49th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Optical Lithography - Deep subwavelength nanolithography using localized surface plasmon modes on planar silver maskSrituravanich, W. et al. | 2005
- 2640
-
Papers from the 49th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Optical Lithography - Doppler writing and linewidth control for scanning beam interference lithographyMontoya, Juan C. et al. | 2005
- 2646
-
Papers from the 49th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Optical Lithography - Screening layouts for high-numerical aperture and polarization effects using pattern matchingMcIntyre, Gregory R. et al. | 2005
- 2653
-
Papers from the 49th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Optical Lithography - Understanding the impact of source displacement error on sub-90 nm patterns using a fresnel zone plateShin, Jangho et al. | 2005
- 2657
-
Papers from the 49th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Optical Lithography - Immersion zone-plate-array lithographyChao, David et al. | 2005
- 2662
-
Papers from the 49th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Optical Lithography - Pumped quantum systems: Immersion fluids of the future?Anant, Vikas et al. | 2005
- 2668
-
Papers from the 49th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Optical Lithography - Hyper high numerical aperature achromatic interferometer for immersion lithography at 193 nmCharley, A.L. et al. | 2005
- 2675
-
Papers from the 49th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Optical Lithography - Propagating modes in subwavelength cylindrical holesCatrysse, Peter B. et al. | 2005
- 2679
-
Papers from the 49th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Optical Lithography - Full field analysis of lithography performance for ArF immersion lithographyLi, Yanqiu et al. | 2005
- 2684
-
Papers from the 49th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Optical Lithography - Simulation of air bubble scattering effects in 193 nm immersion interferometric lithographyLin, C.H. et al. | 2005
- 2694
-
Papers from the 49th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Optical Lithography - Fabrication of enclosed nanochannels using silica nanoparticlesXia, Deying et al. | 2005
- 2700
-
Papers from the 49th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Optical Lithography - Large-area, infrared nanophotonic materials fabricated using interferometric lithographyFan, Wenjun et al. | 2005
- 2705
-
Papers from the 49th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Optical Lithography - Achromatic spatial frequency multiplication: A method for production of nanometer-scale periodic structuresSolak, Harun H. et al. | 2005
- 2711
-
Papers from the 49th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Resist Science and Technology - Spectral analysis of line-edge roughness in polyphenol EB-resists and its impact on transistor performanceYamaguchi, Atsuko et al. | 2005
- 2716
-
Papers from the 49th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Resist Science and Technology - Proton and anion distribution and line edge roughness of chemically amplified electron beam resistKozawa, Takahiro et al. | 2005
- 2721
-
Papers from the 49th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Resist Science and Technology - Static and dynamic contact angles of water on photoresistBurnett, H. et al. | 2005
- 2728
-
Papers from the 49th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Resist Science and Technology - Study on acid generation from polymerYamamoto, Hiroki et al. | 2005
- 2733
-
Papers from the 49th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Resist Science and Technology - Full three-dimensional characterization of 25 nm lines for chemically amplified resist simulationLandis, S. et al. | 2005
- 2738
-
Papers from the 49th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Maskless Lithography - Effects of through-focus symmetry in maskless lithography using micromirror arraysWang, J.-S. et al. | 2005
- 2743
-
Papers from the 49th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Maskless Lithography - Hybrid optical maskless lithography: Scaling beyond the 45 nm nodeFritze, M. et al. | 2005
- 2749
-
Papers from the 49th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Electron Beam Lithography and Imaging - Dynamic chromatic aberration correction in low energy electron microscopesKhursheed, Anjam et al. | 2005
- 2754
-
Papers from the 49th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Electron Beam Lithography and Imaging - Performances by the electron optical system of low energy electron beam proximity projection lithography tool with a large scanning fieldKasahara, Haruo et al. | 2005
- 2758
-
Papers from the 49th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Electron Beam Lithography and Imaging - Narrow cone emission from negative electron affinity photocathodesLiu, Zhi et al. | 2005
- 2763
-
Papers from the 49th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Electron Beam Lithography and Imaging - Negative charging-up contrast formation of multilayered structures with a nonpenetrating electron beam in scanning-electron microscopeMiyoshi, Motosuke et al. | 2005
- 2769
-
Papers from the 49th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Electron Beam Lithography and Imaging - Experimental optimization of the electron-beam proximity effect forward scattering parameterRooks, M. et al. | 2005
- 2775
-
Papers from the 49th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Electron Beam Lithography and Imaging - Dependence of linewidth and its edge roughness on electron beam exposure doseKotera, M. et al. | 2005
- 2780
-
Papers from the 49th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Electron Beam Lithography and Imaging - Electron-beam direct writing system employing character projection exposure with production dispatching ruleTominaga, T. et al. | 2005
- 2784
-
Papers from the 49th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Electron Beam Lithography and Imaging - SnO2 lithographic processing for nanopatterned gas sensorsCandeloro, P. et al. | 2005
- 2789
-
Papers from the 49th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Electron Beam Lithography and Imaging - Visualization and in situ contacting of carbon nanotubes in a scanning electron microscopeCroitoru, M.D. et al. | 2005
- 2793
-
Papers from the 49th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Electron Beam Lithography and Imaging - Towards nano-fluidics by solvent deformation of electron beam resistPearson, J.L. et al. | 2005
- 2798
-
Papers from the 49th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Particle Beam Technologies - Ion implantation with scanning probe alignmentPersaud, A. et al. | 2005
- 2801
-
Papers from the 49th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Particle Beam Technologies - Mechanical characteristics and its annealing effect of diamondlike-carbon nanosprings fabricated by focused-ion-beam chemical vapor depositionNakamatsu, Ken-ichiro et al. | 2005
- 2806
-
Papers from the 49th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Particle Beam Technologies - Cross beam lithography (FIB+EBL) and dip pen nanolithography for nanoparticle conductivity measurementsCabrini, Stefano et al. | 2005
- 2811
-
Papers from the 49th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Particle Beam Technologies - Writing the identity in radio frequency identity tags with focused ion-beam implantation of transistor gatesMarco, Anthony De et al. | 2005
- 2816
-
Papers from the 49th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Particle Beam Technologies - Analytical model of a gas phase field ionization sourceLiu, Xuefeng et al. | 2005
- 2821
-
Papers from the 49th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Particle Beam Technologies - Electrical properties of nanocontacts on silicon nanoparticles embedded in thin SiO2 synthesized by ultralow energy !on implantationAssayag, G.Ben et al. | 2005
- 2825
-
Papers from the 49th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Particle Beam Technologies - Growth and simulation of high-aspect ratio nanopillars by primary and secondary electron-induced depositionFowlkes, J.D. et al. | 2005
- 2833
-
Papers from the 49th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Particle Beam Technologies - Development of a multi-electron-beam source for sub-10 nm electron beam induced depositionBruggen, M.J.van et al. | 2005
- 2840
-
Papers from the 49th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - EUV Lithography - Characterization of the synchrotron-based 0.3 numerical aperture extreme ultraviolet microexposure tool at the Advanced Light SourceNaulleau, Patrick et al. | 2005
- 2844
-
Papers from the 49th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - EUV Lithography - Measuring line roughness through aerial image contrast variation using coherent extreme ultraviolet spatial filtering techniquesShumway, Michael D. et al. | 2005
- 2848
-
Papers from the 49th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - EUV Lithography - Scaling law in acceleration test of extreme ultraviolet lithography projection optics mirror contaminationGomei, Yoshio et al. | 2005
- 2852
-
Papers from the 49th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - EUV Lithography - Mask defect inspection using an extreme ultraviolet microscopeHamamoto, K. et al. | 2005
- 2856
-
Papers from the 49th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - EUV Lithography - Predicting the dynamic response of an extreme ultraviolet reticle during exposure scanningDicks, G. et al. | 2005
- 2860
-
Papers from the 49th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - EUV Lithography - Printability of nonsmoothed buried defects in extreme ultraviolet lithography mask blanksFarys, Vincent et al. | 2005
- 2866
-
Papers from the 49th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - EUV Lithography - Investigation of multilayer structural changes in phase and amplitude-defects correction processLee, Seung Yoon et al. | 2005
- 2870
-
Papers from the 49th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - EUV Lithography - Asymmetry of aerial image after mask pattern correction for off-axis incident light in extreme ultraviolet lithographySugawara, Minoru et al. | 2005
- 2875
-
Papers from the 49th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - EUV Lithography - Imaging characteristics and specification of mask mean-to-target and mask uniformity according to polarization statusLee, Sung-Woo et al. | 2005
- 2879
-
Papers from the 49th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - EUV Lithography - Ion emission measurements and mirror erosion studies for extreme ultraviolet lithographyTakenoshita, K. et al. | 2005
- 2885
-
Papers from the 49th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - EUV Lithography - Extreme ultraviolet focus sensor design optimizationGoldberg, Kenneth A. et al. | 2005
- 2891
-
Papers from the 49th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - EUV Lithography - Electrical characterization of multilayer masks for extreme ultraviolet lithographyHartley, J.G. et al. | 2005
- 2896
-
Papers from the 49th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - X-ray Lithography - Development, installation, and performance of the x-ray stepper JSAL 5CLeonard, Q. et al. | 2005
- 2903
-
Papers from the 49th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - X-ray Lithography - Large area and wide dimension range x-ray lithography for lithographite, galvanoformung, and abformung process using energy variable synchrotron radiationUtsumi, Yuichi et al. | 2005
- 2910
-
Papers from the 49th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - X-ray Lithography - Functionalized SU-8 patterned with x-ray lithographyBalslev, S. et al. | 2005
- 2914
-
Papers from the 49th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Nanoimprint Techniques - 4 inch lift-off process by trilayer nanoimprint lithographyTallal, J. et al. | 2005
- 2920
-
Papers from the 49th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Nanoimprint Techniques - Fabrication of three-dimensional stamps for embossing techniques by lithographically controlled isotropic wet etchingTormen, Massimo et al. | 2005
- 2925
-
Papers from the 49th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Nanoimprint Techniques - Ultraviolet-based nanoimprint at reduced environmental pressureFuchs, A. et al. | 2005
- 2929
-
Papers from the 49th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Nanoimprint Techniques - Enhanced molecular patterning via microdisplacement printingDameron, Arrelaine A. et al. | 2005
- 2933
-
Papers from the 49th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Nanoimprint Techniques - Defect control in nanoimprint lithographyChen, Lei et al. | 2005
- 2939
-
Papers from the 49th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Nanoimprint Techniques - Fabrication of 1-4 wave plate by nanocasting lithographyYoshikawa, Takashi et al. | 2005
- 2944
-
Papers from the 49th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Nanoimprint Techniques - Topas-based lab-on-a-chip microsystems fabricated by thermal nanoimprint lithographyBilenberg, B. et al. | 2005
- 2950
-
Papers from the 49th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Nanoimprint Techniques - High performance 100 mm-in-diameter true zero-order waveplates fabricated by imprint lithographyWang, Jian Jim et al. | 2005
- 2954
-
Papers from the 49th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Nanoimprint Techniques - Three-dimensional polymer structures fabricated by reversal ultraviolet-curing imprint lithographyKehagias, N. et al. | 2005
- 2958
-
Papers from the 49th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Nanoimprint Techniques - Simulations of nonuniform embossing: The effect of asymmetric neighbor cavities on polymer flow during nanoimprint lithographyRowland, Harry D. et al. | 2005
- 2963
-
Papers from the 49th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Nanoimprint Techniques - Polymer time constants during low temperature nanoimprint lithographyScheer, H.-C. et al. | 2005
- 2967
-
Papers from the 49th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Nanoimprint Techniques - Vinyl ether formulations for step and flash imprint lithographyKim, E.K. et al. | 2005
- 2972
-
Papers from the 49th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Nanostructures for Biology - Fabrication and surface chemistry of nanoscale bioarrays designed for the study of cytoskeletal protein binding interactions and their effect on cell motilityCherniavskaya, O. et al. | 2005
- 2979
-
Papers from the 49th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Nanostructures for Biology - Arrays of high-Q high stability ultrahigh-frequency resonators for chemical-biological sensorsKubena, R.L. et al. | 2005
- 2984
-
Papers from the 49th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Nanostructures for Biology - Effects of nanoimprinted patterns in tissue-culture polystyrene on cell behaviorHu, W. et al. | 2005
- 2990
-
Papers from the 49th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Nanostructures for Biology - Three-dimensional digital scanner based on micromachined micromirror for the metrological measurement of the human ear canalPrasciolu, M. et al. | 2005
- 2995
-
Papers from the 49th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Nanostructures for Biology - Sealed three-dimensional nonochannelsReano, R.M. et al. | 2005
- 3000
-
Papers from the 49th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Nanostructures for Biology - Self-aligned platinum-silicide nanowires for biomolecule sensingKo, Fu-Hsiang et al. | 2005
- 3006
-
Papers from the 49th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Nanostructures for Biology - Resonant grating filters as refractive index sensors for chemical and biological detectionsWang, Jian Jim et al. | 2005
- 3011
-
Papers from the 49th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Nanostructures for Biology - Polymer cell culture substrates with combined nanotopographical patterns and micropatterned chemical domainsCharest, Joseph L. et al. | 2005
- 3015
-
Papers from the 49th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Metrology, Alignment, and CD Control - Advanced electron microscopy needs for nanotechnology and nanomanufacturingPostek, Michael T. et al. | 2005
- 3023
-
Papers from the 49th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Metrology, Alignment, and CD Control - Effect of initial resist thickness on residual layer thickness of nanoimprinted structuresLee, Hae-Jeong et al. | 2005
- 3028
-
Papers from the 49th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Metrology, Alignment, and CD Control - Traceable calibration of critical-dimension atomic force microscope linewidth measurements with nanometer uncertaintyDixson, R.G. et al. | 2005
- 3033
-
Papers from the 49th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Metrology, Alignment, and CD Control - Local critical dimension variation from shot-noise related line edge roughnessKruit, P. et al. | 2005
- 3037
-
Papers from the 49th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Metrology, Alignment, and CD Control - Subpixel alignment for scanning-beam lithography using one-dimensional, phase-based mark detectionKrishnamurthy, A.V. et al. | 2005
- 3043
-
Papers from the 49th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Metrology, Alignment, and CD Control - Investigation of overlay errors due to the interaction of optical and extreme ultraviolet mask fabrication processesZheng, L. et al. | 2005
- 3047
-
Papers from the 49th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Metrology, Alignment, and CD Control - Overlay alignment using optical microscopy and arbitrary surface featuresPicciotto, Carl et al. | 2005
- 3052
-
Papers from the 49th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Metrology, Alignment, and CD Control - Technique for estimating the angle of incidence and depth of focus of an electron beamMizuno, Fumio et al. | 2005
- 3056
-
Papers from the 49th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Metrology, Alignment, and CD Control - Portable coordinate measuring toolJiang, Li et al. | 2005
- 3061
-
Papers from the 49th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Metrology, Alignment, and CD Control - Fabrication of high-secondary-electron-yield grids for spatial-phase-locked electron-beam lithographyZhang, Feng et al. | 2005
- 3065
-
Papers from the 49th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Metrology, Alignment, and CD Control - Pattern matching between a scanning electron microscopy exposed pattern image of large-scale integrated fine structures and computer-aided design layout data by using the relaxation methodMiura, K. et al. | 2005
- 3069
-
Papers from the 49th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Metrology, Alignment, and CD Control - Measurement of residual thickness using scatterometryFuard, David et al. | 2005
- 3075
-
Papers from the 49th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Metrology, Alignment, and CD Control - Line edge roughness characterization with a three-dimensional atomic force microscope: Transfer during gate patterning processesThiault, J. et al. | 2005
- 3080
-
Papers from the 49th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Metrology, Alignment, and CD Control - Reconstruction of pattern images from scanning electron microscope imagesFeng, Hanying et al. | 2005
- 3085
-
Papers from the 49th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Metrology, Alignment, and CD Control - Self inspection of integrated circuits pattern defects using support vector machinesFeng, Hanying et al. | 2005
- 3090
-
Papers from the 49th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Metrology, Alignment, and CD Control - Advanced atomic force microscopy probes-Wear resistant designsLiu, H. et al. | 2005
- 3094
-
Papers from the 49th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Metrology, Alignment, and CD Control - Improving critical dimension accuracy and throughput by subfield scheduling in electron beam mask writingBabin, Sergey et al. | 2005
- 3101
-
Papers from the 49th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Mask Making Technology - Advanced photolithographic mask repair using electron beamsLiang, Ted et al. | 2005
- 3106
-
Papers from the 49th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Mask Making Technology - Electromagnetic phenomena in advanced photomasksSchellenberg, F.M. et al. | 2005
- 3116
-
Papers from the 49th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Etching and Deposition for the Nanometer Era - Innovative approach to nanoscale device fabrication and low-temperature nitride film growthAkhadov, Elshan A. et al. | 2005
- 3120
-
Papers from the 49th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Etching and Deposition for the Nanometer Era - Electron beam lithography patterning of sub-10 nm line using hydrogen silsesquioxane for nanoscale device applicationsBaek, In-Bok et al. | 2005
- 3124
-
Papers from the 49th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Etching and Deposition for the Nanometer Era - Direct-write e-beam patterning of stimuli-responsive hydrogel nanostructuresTirumala, Vijay R. et al. | 2005
- 3129
-
Papers from the 49th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Etching and Deposition for the Nanometer Era - Reduction of oxide layer on Ru surface by atomic-hydrogen treatmentNishiyama, I. et al. | 2005
- 3132
-
Papers from the 49th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Etching and Deposition for the Nanometer Era - Nanoscale patterning in application to materials and device structuresErbe, A. et al. | 2005
- 3138
-
Papers from the 49th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Etching and Deposition for the Nanometer Era - Low damage sputter deposition of tungsten for decanano compound semiconductor transistorsCao, X. et al. | 2005
- 3143
-
Papers from the 49th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Etching and Deposition for the Nanometer Era - Copper germanide Ohmic contact on n-type gallium nitride using silicon tetrachloride plasmaSchuette, Michael L. et al. | 2005
- 3148
-
Papers from the 49th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Etching and Deposition for the Nanometer Era - Simulation of the cathode surface damages in a HOPFED during ion bombardmentZhao, Hongping et al. | 2005
- 3153
-
Papers from the 49th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Nanodevices - Micromachined Arch-type cantilever as high sensitivity uncooled infrared detectorlvanova, K. et al. | 2005
- 3158
-
Papers from the 49th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Nanodevices - Rapid prototyping of infrared bandpass filters using aperture array lithographyHan, Keping et al. | 2005
- 3164
-
Papers from the 49th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Nanodevices - Monolithically integrated circular polarizers with two-layer nano-gratings fabricated by imprint lithographyWang, Jian Jim et al. | 2005
- 3168
-
Papers from the 49th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Nanodevices - Lithographically fabricated optical cavities for refractive index sensingAdams, Mark et al. | 2005
- 3174
-
Papers from the 49th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Carbon Nanotube Technology - Electrodes for carbon nanotube devices by focused electron beam induced deposition of goldBrintlinger, T. et al. | 2005
- 3178
-
Papers from the 49th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Carbon Nanotube Technology - Positioning of carbon nanotubes using soft-lithography for electronics applicationsPlank, N.O.V. et al. | 2005
- 3182
-
Papers from the 49th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Advanced Lithography Applications - Direct measurements and analyses of the Coulomb effects in electron projection lithographyYamamoto, Jiro et al. | 2005
- 3188
-
Papers from the 49th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Advanced Lithography Applications - Proximity effect correction using blur map in electron projection lithographyYamashita, Hiroshi et al. | 2005
- 3193
-
Papers from the 49th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Advanced Lithography Applications - Integrated four-channel GaAs-based quantum dot laser module with photonic crystalsScherer, H. et al. | 2005
- 3197
-
Papers from the 49th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Advanced Lithography Applications - Fabrication of a hard mask for InP based photonic crystals: Increasing the plasma-etch selectivity of poly(methyl methacrylate) versus SiO2 and SiNxWüest, R. et al. | 2005
- 3202
-
Papers from the 49th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Micro- and Nano-machining Techniques - Subcritical carbon dioxide assisted polymer nanofabrication at low temperaturesYang, Yong et al. | 2005
- 3205
-
Papers from the 49th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Micro- and Nano-machining Techniques - Formation of GaN layer on SiN surface using low-energy Ga !on implantationYanagisawa, Junichi et al. | 2005
- 3209
-
Papers from the 49th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Micro- and Nano-machining Techniques - Filling high aspect-ratio nano-structures by atomic layer deposition and its applications in nano-optic devices and integrationsWang, Jian Jim et al. | 2005
- 3214
-
Papers from the 49th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Micro- and Nano-machining Techniques - Fabrication Of a Si-SiO2 multiple-quantum-well light emitting diode using remote plasma enhanced chemical vapor depositionRölver, R. et al. | 2005
- 3219
-
Papers from the 49th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - AUTHOR INDEX| 2005
- 3221
-
INDEX - Summary of the Physics and Astronomy Classification| 2005
- 3222
-
INDEX - PACS Headings Used in the Present Index| 2005
- 3228
-
INDEX - Subject Index to Volume 23| 2005
- 3283
-
INDEX - Author Index to Volume 23| 2005
- 3314
-
INDEX - Materials Index to Volume 23| 2005
-
Letters - Possible role of oxygen impurities in degradation of nc-TiN-a-Si3N4 nanocompositesVeprek, Stan et al. | 2005
-
Letters - Passivation of zinc-tin-oxide thin-film transistorsHong, David et al. | 2005
-
Letters - Light-emitting diodes with nickel substrates fabricated by electroplatingChang, R.H. et al. | 2005
-
An international journal devoted to Microelectronics and Nanometer Structures - Processing, Measurement, and Phenomena| 2005