Papers from the 50th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Maskless Lithography - Spatial light modulator for maskless optical projection lithography (English)
- New search for: Watson, G.P.
- New search for: Watson, G.P.
- New search for: Aksyuk, V.
- New search for: Simon, M.E.
- New search for: Tennant, D.M.
- New search for: Cirelli, R.A.
- New search for: Mansfield, W.M.
- New search for: Pardo, F.
- New search for: Lopez, D.O.
- New search for: Bolle, C.A.
- New search for: Papazian, A.R.
- New search for: Basavanhally, N.
- New search for: Lee, J.
- New search for: Fullowan, R.
- New search for: Klemens, F.
- New search for: Miner, J.
- New search for: Kornblit, A.
- New search for: Sorsch, T.
- New search for: Fetter, L.
- New search for: Peabody, M.
In:
Journal of vacuum science and technology / B
;
24
, 6
; 2852-2856
;
2006
-
ISSN:
- Article (Journal) / Print
-
Title:Papers from the 50th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Maskless Lithography - Spatial light modulator for maskless optical projection lithography
-
Contributors:Watson, G.P. ( author ) / Aksyuk, V. / Simon, M.E. / Tennant, D.M. / Cirelli, R.A. / Mansfield, W.M. / Pardo, F. / Lopez, D.O. / Bolle, C.A. / Papazian, A.R.
-
Published in:Journal of vacuum science and technology / B ; 24, 6 ; 2852-2856
-
Publisher:
- New search for: Inst.
-
Place of publication:New York, NY
-
Publication date:2006
-
ISSN:
-
ZDBID:
-
Type of media:Article (Journal)
-
Type of material:Print
-
Language:English
- New search for: 51.30 / 50.94 / 53.55 / 53.56
- Further information on Basic classification
-
Keywords:
-
Classification:
-
Source:
Table of contents – Volume 24, Issue 6
The tables of contents are generated automatically and are based on the data records of the individual contributions available in the index of the TIB portal. The display of the Tables of Contents may therefore be incomplete.
- 2485
-
Review Article - On the use of alloying elements for Cu interconnect applicationsBarmak, K. et al. | 2006
- 2499
-
Micromechanical resonators and filters for microelectromechanical system applicationsMotiee, Mehrnaz et al. | 2006
- 2509
-
Microscopic approach to an equation for the heat flow between wafer and E-chuckKlick, Michael et al. | 2006
- 2518
-
Metal-insulator-metal capacitors using atomic-layer-deposited Al2O3-HfO2-Al2O3 sandwiched dielectrics for wireless communicationsDing, Shi-Jin et al. | 2006
- 2523
-
Area selective atomic layer deposition of titanium dioxide: Effect of precursor chemistrySinha, Ashwini et al. | 2006
- 2533
-
Focused ion beam fabrication of two dimensional photonic crystals in silicon-on-insulatorBalasubramanian, K. et al. | 2006
- 2538
-
Development of a regeneration-type neural interface: A microtube guide for axon growth of neuronal cells fabricated using focused-ion-beam chemical vapor depositionHoshino, Takayuki et al. | 2006
- 2544
-
Generalized model of the metal-n-GaN Schottky interface and improved performance by electrochemical Pt depositionCojocari, Oleg et al. | 2006
- 2553
-
Chemical lithographyYao, Peng et al. | 2006
- 2560
-
Direct correlation of negative magnetoresistance with concentrations of localized holes in Be delta-doped GaAs structuresNoh, J.P. et al. | 2006
- 2566
-
Direct-write electron-beam lithography of an IR antenna-coupled microbolometer onto the surface of a hemispherical lensMiddlebrook, C.T. et al. | 2006
- 2570
-
Effect of the addition of argon to reactive nitrogen gas on field emission properties of amorphous carbon nitride filmsLi, Junjie et al. | 2006
- 2575
-
Field electron emission characteristics of diamond films with different grain morphologiesLu, X. et al. | 2006
- 2581
-
InAs-AISb high-electron-mobility transistors by molecular-beam epitaxy for low-power applicationsLange, M.D. et al. | 2006
- 2586
-
Epitaxial growth and strain relaxation of MgO thin films on Si grown by molecular beam epitaxyNiu, F. et al. | 2006
- 2592
-
Controlled electroplating for high-aspect-ratio zone-plate fabricationHolmberg, A. et al. | 2006
- 2597
-
Mobility and charge density tuning in double d-doped pseudomorphic high-electron-mobility transistors grown by metal organic chemical vapor depositionLee, Chong-Yi et al. | 2006
- 2601
-
Fabrication and characterization of high breakdown voltage AlGaN-GaN heterojunction field effect transistors on sapphire substratesChoi, Y.C. et al. | 2006
- 2606
-
Proposal of a new microreactor for vertical chemical operationUtsumi, Yuichi et al. | 2006
- 2612
-
Vertical-via interconnection for infrared antennasMandviwala, T.A. et al. | 2006
- 2616
-
Electron-beam lithography of Co-Pd multilayer with hydrogen slisesquioxane and amorphous Si intermediate layerWi, Jung-Sub et al. | 2006
- 2621
-
Electrical properties of fluorine-doped silicon-oxycarbide dielectric barrier for copper interconnectHuang, Chun-Chieh et al. | 2006
- 2627
-
Magnetization losses in submicrometer CoFeB dots etched in a high ion density Cl2-based plasmaFabrie, C.G.C.H.M. et al. | 2006
- 2631
-
Actinic extreme ultraviolet lithography mask blank defect inspection by photoemission electron microscopyLin, Jingquan et al. | 2006
- 2636
-
Efficient fabrication and characterization of cobalt nanoparticles embedded in metal-oxide-semiconductor structures for the application of nonvolatile memoryYang, Jung Yup et al. | 2006
- 2640
-
Study of diffusion barriers for Au metal on liquid phase oxidized GaAsSze, Po-Wen et al. | 2006
- 2645
-
Effects of various plasma pretreatments on 193 nm photoresist and linewidth roughness after etchingKim, Myeong-Cheol et al. | 2006
- 2653
-
Mobility study of a new naphthalenetetracarboxylic diimide derivativeYang, D. et al. | 2006
- 2659
-
Immersion lithography for laser mask writingBassett, Derek W. et al. | 2006
- 2668
-
Suppression of surface segregation of silicon dopants during molecular beam epitaxy of (411)A In0.75Ga0.25As-In0.52Al0.48As psoudomorphic high electron mobility transistor structuresSagisaka, H. et al. | 2006
- 2672
-
Anisotropic high aspect ratio etch for perfluorcyclobutyl polymers with stress relief techniqueRahmanian, Nazli et al. | 2006
- 2678
-
Plasma oxidation of polyhedral oligomeric silsesquioxane polymersEon, D. et al. | 2006
- 2689
-
Effects of SiO2-Si3N4 hard masks on etching properties of metal gatesHwang, Wan Sik et al. | 2006
- 2695
-
Time of flight secondary ion mass spectroscopy investigation of ultralow-k dielectric modifications in hydrogen and deuterium plasmasLazzeri, R. et al. | 2006
- 2702
-
Thin-film transistors with amorphous indium gallium oxide channel layersChiang, H.Q. et al. | 2006
- 2706
-
Demonstration of spatially programmable chemical vapor deposition: Model-based uniformity-nonuniformity controlSreenivasan, Ramaswamy et al. | 2006
- 2716
-
Quantifying release in step-and-flash imprint lithographyChan, Edwin P. et al. | 2006
- 2723
-
Low-resistance Ohmic contacts developed on undoped AlGaN-GaN-based high electron mobility transistors with AIN interlayerSun, Yunju et al. | 2006
- 2726
-
Electron cyclotron plasma etching damage investigated by InGaAs-GaAs quantum well photoluminescenceMestanza, S.N.M. et al. | 2006
- 2731
-
Characterization and optimization of a P-channel poly(o-mothoxyaniline) based thin film transistorShrestha, Roshan P. et al. | 2006
- 2737
-
Effects of Zn content on structural and transparent conducting properties of indium-zinc oxide films grown by rf magnetron sputteringPark, Jae-Soung et al. | 2006
- 2741
-
Deviations from ideal nucleation-limited relaxation in high-Ge content compositionally graded SiGe-SiIsaacson, David M. et al. | 2006
- 2748
-
Mechanisms of isotropic and selective etching between SiGe and SiCaubet, V. et al. | 2006
- 2755
-
Custom design of optical-grade thin films of silicon oxide by direct-write electron-beam-induced depositionWanzenboeck, Heinz D. et al. | 2006
- 2761
-
Coulomb blur in a multi-electron-beam systemSohda, Yasunari et al. | 2006
- 2765
-
Brief Reports and Comments - Interconnecting single nano-objects on surfaces for transport experimentsTorre, A.Della et al. | 2006
- 2769
-
Brief Reports and Comments - Fabrication of nanoelectromechanical resonators using a cryogenic etching techniqueNelson-Fitzpatrick, N. et al. | 2006
- 2772
-
Brief Reports and Comments - Reverse transfer of nanostencil patterns using intermediate sacrificial layer and lift-off processPark, Chan Woo et al. | 2006
- 2776
-
Shop Notes - Enabling in situ atomic scale surface imaging for vertical molecular beam epitaxy machinesKim, Dong Jun et al. | 2006
- 2788
-
Papers from the 50th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Preface| 2006
- 2789
-
Papers from the 50th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Optical Lithography - Immersion patterning down to 27 nm half pitchBloomstein, T.M. et al. | 2006
- 2798
-
Papers from the 50th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Optical Lithography - Effect of resist surface characteristics on film-pulling velocity in immersion lithographySchuetter, S. et al. | 2006
- 2803
-
Papers from the 50th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Optical Lithography - Impact of stray light depending on image quality: An approximation using total integrated scatterKim, Young-Chang et al. | 2006
- 2808
-
Papers from the 50th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Optical Lithography - Phase shift mask interferometric birefringence monitorMcIntyre, Gregory R. et al. | 2006
- 2815
-
Papers from the 50th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Optical Lithography - Generation of isofocal target patterns using process modeling during optical proximity correctionMelvin III, Lawrence S. et al. | 2006
- 2820
-
Papers from the 50th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - EUV Lithography - Novel absorber stack for minimizing shadow effect in extreme ultraviolet maskKim, Tae Geun et al. | 2006
- 2824
-
Papers from the 50th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - EUV Lithography - Actinic inspection of extreme ultraviolet programed multilayer defects and cross-comparison measurementsGoldberg, Kenneth A. et al. | 2006
- 2829
-
Papers from the 50th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - EUV Lithography - Distortion of chucked extreme ultraviolet reticles from entrapped particlesRamaswamy, V. et al. | 2006
- 2834
-
Papers from the 50th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - EUV Lithography - Electrostatic chucking for extreme ultraviolet lithography: Simulations and experimentsNataraju, M. et al. | 2006
- 2840
-
Papers from the 50th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Synchrotron Lithography - Three-dimensional patterning using fine step motion in synchrotron radiation lithographyFukuda, M. et al. | 2006
- 2844
-
Papers from the 50th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Synchrotron Lithography - Properties of zone plates used for lithographyZheng, R. et al. | 2006
- 2848
-
Papers from the 50th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Synchrotron Lithography - Pulse reverse plating for uniform nickel height in zone platesLindblom, M. et al. | 2006
- 2852
-
Papers from the 50th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Maskless Lithography - Spatial light modulator for maskless optical projection lithographyWatson, G.P. et al. | 2006
- 2857
-
Papers from the 50th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Maskless Lithography - Integrated multi-electron-beam blanker array for sub-10-nm electron beam induced depositionZhang, Yanxia et al. | 2006
- 2861
-
Papers from the 50th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Masks - Electrostatic chuck fringe field simulation and its impact on electron beam extreme ultraviolet mask patterningRuan, Junru et al. | 2006
- 2866
-
Papers from the 50th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Masks - Predicting electron projection lithography mask membrane image placement errorsBoruszewski, M.J. et al. | 2006
- 2871
-
Papers from the 50th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Charged Particle Sources, Optics and Systems - Helium ion microscope: A new tool for nanoscale microscopy and metrologyWard, B.W. et al. | 2006
- 2875
-
Papers from the 50th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Charged Particle Sources, Optics and Systems - Real-time determination of electron-beam probe shape using an in situ fiducial gridHastings, J.T. et al. | 2006
- 2881
-
Papers from the 50th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Charged Particle Sources, Optics and Systems - Orientation dependence of linewidth variation in sub-50-nm Gaussian e-beam lithography and its correctionLu, M. et al. | 2006
- 2886
-
Papers from the 50th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Charged Particle Sources, Optics and Systems - Photoelectron emission studies in CsBr at 257 nmMaldonado, Juan R. et al. | 2006
- 2892
-
Papers from the 50th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Charged Particle Sources, Optics and Systems - Arrayed miniature electron beam columns for mask makingSpallas, J.P. et al. | 2006
- 2897
-
Papers from the 50th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Charged Particle Sources, Optics and Systems - Comparison of parameters for Schottky and cold field emission sourcesSchwind, G.A. et al. | 2006
- 2902
-
Papers from the 50th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Ion Beam Lithography Technology - High brightness inductively coupled plasma source for high current focused ion beam applicationsSmith, N.S. et al. | 2006
- 2907
-
Papers from the 50th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Ion Beam Lithography Technology - Using laser-cooled atoms as a focused ion beam sourceHanssen, J.L. et al. | 2006
- 2911
-
Papers from the 50th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Ion Beam Lithography Technology - Mechanical characteristics and applications of diamondlike-carbon cantilevers fabricated by focused-ion-beam chemical vapor depositionIgaki, Jun-ya et al. | 2006
- 2915
-
Papers from the 50th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Ion Beam Lithography Technology - Estimation of scattered particle exposure in ion beam aperture array lithographyParekh, V. et al. | 2006
- 2920
-
Papers from the 50th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Electron Beam Lithography Technology - Electron beam lithography for magnetic recording heads: Characterization and optimization of critical componentsYang, XiaoMin et al. | 2006
- 2926
-
Papers from the 50th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Electron Beam Lithography Technology - Two-dimensional Bragg grating lasers defined by electron-beam lithographyDeRose, Guy A. et al. | 2006
- 2931
-
Papers from the 50th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Electron Beam Lithography Technology - Predicted effect of shot noise on contact hole dimension in e-beam lithographyKruit, P. et al. | 2006
- 2936
-
Papers from the 50th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Electron Beam Lithography Technology - Process optimization and proximity effect correction for gray scale e-beam lithographyMurali, Raghunath et al. | 2006
- 2940
-
Papers from the 50th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Electron Beam Lithography Technology - Electron-beam patterning and process optimization for magnetic sensor fabricationXiao, Shuaigang et al. | 2006
- 2945
-
Papers from the 50th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Electron Beam Lithography Technology - Sub- 100-nm lithography with miniature electron beam columnsMuray, L.P. et al. | 2006
- 2951
-
Papers from the 50th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Electron Beam Lithography Technology - Silicon photodiodes for low-voltage electron detection in scanning electron microscopy and electron beam lithographySilver, C.S. et al. | 2006
- 2956
-
Papers from the 50th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Electron Beam Lithography Technology - Technique to automatically measure electron-beam diameter and astigmatism: BEAMETRBabin, S. et al. | 2006
- 2960
-
Papers from the 50th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Nanoimprint Lithography - Replication of diffractive-optical arrays via photocurable nanoimprint lithographyGalus, Matthias D. et al. | 2006
- 2964
-
Papers from the 50th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Nanoimprint Lithography - Nanowire fin field effect transistors via UV-based nanoimprint lithographyFuchs, A. et al. | 2006
- 2968
-
Papers from the 50th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Nanoimprint Lithography - Hybrid mold reversal imprint for three-dimensional and selective patterningPeng, C. et al. | 2006
- 2973
-
Papers from the 50th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Nanoimprint Lithography - Evidence for internal stresses induced by nanoimprint lithographyRo, Hyun Wook et al. | 2006
- 2979
-
Papers from the 50th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Nanoimprint Lithography - Direct die-to-database electron beam inspection of fused silica imprint templatesResnick, D.J. et al. | 2006
- 2984
-
Papers from the 50th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Nanoimprint Lithography - Multiple level nanochannels fabricated using reversal UV nanoimprintYang, B. et al. | 2006
- 2988
-
Papers from the 50th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Nanoimprint Lithography - Atomic force microscopy local anodic oxidation of thin Si3N4 layers for robust prototyping of nanostructuresFernandez-Cuesta, Irene et al. | 2006
- 2993
-
Papers from the 50th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Nanoimprint Lithography - Deposition and patterning of diamondlike carbon as antiwear nanoimprint templatesRamachandran, S. et al. | 2006
- 2998
-
Papers from the 50th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Nanoimprint Lithography - Thermal imprint with negligibly low residual layerBogdanski, Nicolas et al. | 2006
- 3002
-
Papers from the 50th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Nanoimprint Lithography - Submicron three-dimensional structures fabricated by reverse contact UV nanoimprint lithographyKehagias, N. et al. | 2006
- 3006
-
Papers from the 50th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Nanoimprint Lithography - Influence of light polarization on UV stabilization of prepatterned resistsWissen, M. et al. | 2006
- 3011
-
Papers from the 50th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Nanoimprint Lithography - Influence of mold depth on capillary bridges in nanoimprint lithographyChaix, N. et al. | 2006
- 3016
-
Papers from the 50th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Nanoimprint Lithography - Layer thickness-induced lens distortions during thermal nonoimprintScheer, H.-C. et al. | 2006
- 3021
-
Papers from the 50th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Resist Science and Technology - Epoxy-containing ArF resists with narrow molecular weight distributionShirai, Masamitsu et al. | 2006
- 3025
-
Papers from the 50th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Resist Science and Technology - Pattern noise in electron beam resists: PMMA, KRS-XE, TOK, HSQMiller, Marshal A. et al. | 2006
- 3031
-
Papers from the 50th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Resist Science and Technology - Contribution of photoacid generator to material roughnessFedynyshyn, Theodore H. et al. | 2006
- 3040
-
Papers from the 50th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Resist Science and Technology - Three-dimensional resist development simulation with discrete modelsSchnattinger, T. et al. | 2006
- 3044
-
Papers from the 50th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Resist Science and Technology - Exposure dose effects on the reaction-diffusion process in model extreme ultraviolet photoresistsLavery, Kristopher A. et al. | 2006
- 3048
-
Papers from the 50th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Resist Science and Technology - Scanning x-ray microscopy investigations into the electron-beam exposure mechanism of hydrogen silsesquioxane resistsOlynick, Deirdre L. et al. | 2006
- 3055
-
Papers from the 50th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Resist Science and Technology - Analysis of acid yield generated in chemically amplified electron beam resistKozawa, Takahiro et al. | 2006
- 3061
-
Papers from the 50th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Resist Science and Technology - Effect of cold development on improvement in electron-beam nanopatterning resolution and line roughnessOcola, L.E. et al. | 2006
- 3066
-
Papers from the 50th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Resist Science and Technology - Correlation between proton dynamics and line edge roughness in chemically amplified resist for post-optical lithographySaeki, Akinori et al. | 2006
- 3073
-
Papers from the 50th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Resist Science and Technology - Time-dependent exposure dose of hydrogen silsesquioxane when used as a negative electron-beam resistClark, Nathaniel et al. | 2006
- 3077
-
Papers from the 50th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Metrology, Inspection and Alignment - Transmission electron microscopy: A linewidth measurement technique for lithographyWarren, John B. et al. | 2006
- 3083
-
Papers from the 50th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Metrology, Inspection and Alignment - Nanometer-precision pattern registration for scanning-probe lithographies using interterometric-spatial-phase imagingSmith, Henry I. et al. | 2006
- 3088
-
Papers from the 50th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Metrology, Inspection and Alignment - Integrated aerial image sensor: Design, modeling, and assemblyXue, Jing et al. | 2006
- 3094
-
Papers from the 50th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Metrology, Inspection and Alignment - From nanoscale displacement sensing and estimation to nanoscale alignmentGao, Jun et al. | 2006
- 3101
-
Papers from the 50th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Metrology, Inspection and Alignment - Study of overlay metrology in atomic force microscope lithography (overlaying lithography with atomic force microscope)Li, Xiaona et al. | 2006
- 3105
-
Papers from the 50th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Metrology, Inspection and Alignment - Impact of registration error of reticle on total overlay error budgetLee, Doo-Youl et al. | 2006
- 3110
-
Papers from the 50th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Metrology, Inspection and Alignment - Pattern reconstruction of scanning electron microscope images using long-range content complexity analysis of the edge ridge signalFeng, Hanying et al. | 2006
- 3115
-
Papers from the 50th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Modeling and Simulation - True three-dimensional proximity effect correction in electron-beam lithographyAnbumony, Kasi et al. | 2006
- 3121
-
Papers from the 50th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Modeling and Simulation - Modeling of linewidth measurement in scanning electron microscopes using advanced Monte Carlo softwareBabin, S. et al. | 2006
- 3125
-
Papers from the 50th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Nanofabrication - Reversing the hydrogen silsesquioxane image by silicon nitride and silicon oxide chemical mechanical polishingDelft, F.C.M.J.M.van et al. | 2006
- 3128
-
Papers from the 50th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Nanofabrication - Thin membrane self-alignment using nanomagnets for three-dimensional nanomanufacturingNichol, Anthony J. et al. | 2006
- 3133
-
Papers from the 50th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Nanofabrication - Microelectrical noise detector for rapid, specific, and sensitive identification of bacteriaSeo, Sungkyu et al. | 2006
- 3139
-
Papers from the 50th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Nanofabrication - Robust shadow-mask evaporation via lithographically controlled undercutCord, B. et al. | 2006
- 3144
-
Papers from the 50th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Nanofabrication - Direct wiring of carbon nanotubes for integration in nanoelectromechanical systemsBauerdick, S. et al. | 2006
- 3148
-
Papers from the 50th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Charged Particle Deposition and Etching - Micromachined piezoresistive proximal probe with integrated bimorph actuator for aligned single ion implantationPersaud, A. et al. | 2006
- 3152
-
Papers from the 50th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Charged Particle Deposition and Etching - Characterization of hydrogen silsesquioxane as a Cl2-BCl3 inductively coupled plasma etch mask for air-clad InP-based quantum well waveguide fabricationPark, D. et al. | 2006
- 3157
-
Papers from the 50th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Charged Particle Deposition and Etching - Enhancing etch resistance of hydrogen silsesquioxane via postdevelop electron curingYang, Joel K.W. et al. | 2006
- 3162
-
Papers from the 50th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Charged Particle Deposition and Etching - Etching of Pyrex glass substrates by inductively coupled plasma reactive ion etching for micro-nanofluidic devicesJung, Hyun Chul et al. | 2006
- 3165
-
Papers from the 50th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Charged Particle Deposition and Etching - Electron beam induced deposition of low resistivity platinum from Pt(PF3)4Barry, John D. et al. | 2006
- 3169
-
Papers from the 50th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Charged Particle Deposition and Etching - Mechanical property evaluation of Au-coated nanospring fabricated by combination of focused-ion-beam chemical vapor deposition and sputter coatingNakamatsu, Ken-ichiro et al. | 2006
- 3173
-
Papers from the 50th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Directed Assembly - Precise positioning of single-walled carbon nanotubes by ac dielectrophoresisBanerjee, Sarbajit et al. | 2006
- 3179
-
Papers from the 50th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Directed Assembly - Control of an electrospinning jet using electric focusing and jet-steering fieldsBellan, Leon M. et al. | 2006
- 3184
-
Papers from the 50th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Directed Assembly - Contactless capturing of particles in liquid using pulsed alternating dielectrophoresisLuo, Cheng-Ping et al. | 2006
- 3188
-
Papers from the 50th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Directed Assembly - Highly porous silicon membrane fabrication using polymer self-assemblyBlack, C.T. et al. | 2006
- 3192
-
Papers from the 50th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Directed Assembly - Nanoscale floating-gate characteristics of colloidal Au nanoparticles electrostatically assembled on Si nanowiresJeon, H.-S. et al. | 2006
- 3196
-
Papers from the 50th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Directed Assembly - Gold nanoparticle wires made using RNA-based self-assemblyCumming, D.R.S. et al. | 2006
- 3200
-
Papers from the 50th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Directed Assembly - Extensions of molecular ruler technology for nanoscale patterningSrinivasan, C. et al. | 2006
- 3205
-
Papers from the 50th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Directed Assembly - Ultrafast patterning of nanoparticles by electrostatic lithographyJoo, Jaebum et al. | 2006
- 3209
-
Papers from the 50th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Nanoelectronic and Nanomagnetic Devices - Approach to variable frequency measurements of carbon nanotube transistorsAmlani, Islamshah et al. | 2006
- 3213
-
Papers from the 50th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Nanoelectronic and Nanomagnetic Devices - 1- to 2-nm-wide nanogaps fabricated with single-walled carbon nanotube shadow masksPoortere, E.P.De et al. | 2006
- 3217
-
Papers from the 50th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Nanoelectronic and Nanomagnetic Devices - Fabrication and characterization of novel cross point structures for molecular electronic integrated circuitsChen, Wei et al. | 2006
- 3221
-
Papers from the 50th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Nanoelectronic and Nanomagnetic Devices - Threshold voltage adjustment on spherical, single-crystal silicon substrates by focused ion beam implantationZomorrodian, V. et al. | 2006
- 3227
-
Papers from the 50th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Nanoelectronic and Nanomagnetic Devices - Chemically responsive molecular transistors fabricated by self-aligned lithography and chemical self-assemblyTang, J. et al. | 2006
- 3230
-
Papers from the 50th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Nanoelectronic and Nanomagnetic Devices - Super-self-aligned back-gate-double-gate planar transistors: Novel fabrication approachLin, Hao et al. | 2006
- 3234
-
Papers from the 50th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Nanoelectronic and Nanomagnetic Devices - Directly patterning ferroelectric films by nanoimprint lithography with low temperature and low pressureHsieh, K.C. et al. | 2006
- 3239
-
Papers from the 50th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - MEMS, NEMS, and Nanophotonics - Characterization and operation of a mechanically actuated silicon microgripperBlideran, Marius M. et al. | 2006
- 3244
-
Papers from the 50th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - MEMS, NEMS, and Nanophotonics - Accurate resonant frequency spacing of microring filters without postfabrication trimmingHolzwarth, C.W. et al. | 2006
- 3248
-
Papers from the 50th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - MEMS, NEMS, and Nanophotonics - Reproduction of the Morpho blue by nanocasting lithographySaito, Akira et al. | 2006
- 3252
-
Papers from the 50th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - MEMS, NEMS, and Nanophotonics - Wafer-scale fabrication of polymer distributed feedback lasersChristiansen, M.B. et al. | 2006
- 3258
-
Papers from the 50th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Nanobiology and Nanomedicine - Progress towards tubes with regular nanopatterned inner surfacesSeunarine, K. et al. | 2006
- 3263
-
AUTHOR INDEX| 2006
- 3265
-
INDEX - Summary of the Physics and Astronomy Classification| 2006
- 3266
-
INDEX - PACS Headings Used in the Present Index| 2006
- 3271
-
INDEX - Subject Index to Volume 24| 2006
- 3323
-
INDEX - Author Index to Volume 24| 2006
- 3357
-
INDEX - Materials Index to Volume 24| 2006
-
Letters - Acid generation efficiency in a model system of chemically amplified extreme ultraviolet resistKozawa, Takahiro et al. | 2006