Lithographic characterization of low-order aberrations in a 0.3-NA EUV microfield exposure tool (English)
- New search for: Naulleau, Patrick
- New search for: Cain, Jason
- New search for: Dean, Kim
- New search for: Goldberg, Kenneth A.
- New search for: Naulleau, Patrick
- New search for: Cain, Jason
- New search for: Dean, Kim
- New search for: Goldberg, Kenneth A.
In:
Proc. SPIE
;
6151
; 61512Z
;
2006
-
ISBN:
-
ISSN:
- Conference paper / Electronic Resource
-
Title:Lithographic characterization of low-order aberrations in a 0.3-NA EUV microfield exposure tool
-
Contributors:Naulleau, Patrick ( author ) / Cain, Jason ( author ) / Dean, Kim ( author ) / Goldberg, Kenneth A. ( author )
-
Conference:Emerging Lithographic Technologies X ; 2006 ; San Jose,California,United States
-
Published in:Proc. SPIE ; 6151 ; 61512Z
-
Publisher:
- New search for: SPIE
-
Publication date:2006-03-10
-
ISBN:
-
ISSN:
-
DOI:
-
Type of media:Conference paper
-
Type of material:Electronic Resource
-
Language:English
-
Source:
Table of contents conference proceedings
The tables of contents are generated automatically and are based on the data records of the individual contributions available in the index of the TIB portal. The display of the Tables of Contents may therefore be incomplete.
- 61510A
-
Demonstration of phase-shift masks for extreme-ultraviolet lithographyLa Fontaine, Bruno / Pawloski, Adam R. / Wood, Obert / Deng, Yunfei / Levinson, Harry J. / Naulleau, Patrick / Denham, Paul E. / Gullikson, Eric / Hoef, Brian / Holfeld, Christian et al. | 2006
- 61510B
-
RIM-13: A high-resolution imaging tool for aerial image monitoring of patterned and blank EUV reticlesBooth, M. / Brunton, A. / Cashmore, J. / Elbourn, P. / Elliner, G. / Gower, M. / Greuters, J. / Hirsch, J. / Kling, L. / McEntee, N. et al. | 2006
- 61510C
-
EUV mask and chuck analysis: simulation and experimentationNataraju, Madhura / Sohn, Jaewoong / Mikkelson, Andrew R. / Turner, Kevin T. / Engelstad, Roxann L. / Van Peski, Chris K. et al. | 2006
- 61510D
-
Modeling methodologies and defect printability maps for buried defects in EUV mask blanksLam, Michael C. / Neureuther, Andrew R. et al. | 2006
- 61510E
-
Visible light point-diffraction interferometer for testing of EUVL opticsTakeuchi, Seiji / Kakuchi, Osamu / Yamazoe, Kenji / Gomei, Yoshio / Decker, Todd A. / Johnson, Michael A. / Phillion, Donald W. / Taylor, John S. et al. | 2006
- 61510F
-
EUV testing of multilayer mirrors: critical issuesHill, S. B. / Ermanoski, I. / Grantham, S. / Tarrio, C. / Lucatorto, T. B. / Madey, T. E. / Bajt, S. / Chandhok, M. / Yan, P. / Wood, O. et al. | 2006
- 61510G
-
Model of Ru surface oxidation for the lifetime scaling of EUVL projection optics mirrorNishiyama, Iwao et al. | 2006
- 61510H
-
Effect of residual gas atmosphere on lifetime of Ru-capped EUVL projection optics mirrorKakutani, Yukinobu / Niibe, Masahito / Gomei, Yoshio / Takase, Hiromitsu / Terashima, Shigeru / Matsunari, Shuichi / Aoki, Takashi / Murakami, Katsuhiko / Fukuda, Yasuaki et al. | 2006
- 61510I
-
Characterization of large off-axis EUV mirrors with high accuracy reflectometry at PTBLaubis, Christian / Buchholz, Christian / Fischer, Andreas / Plöger, Sven / Scholz, Frank / Wagner, Heike / Scholze, Frank / Ulm, Gerhard / Enkisch, Hartmut / Müllender, Stephan et al. | 2006
- 61510J
-
Multi-level step and flash imprint lithography for direct patterning of dielectricsPalmieri, Frank / Stewart, Michael D. / Wetzel, Jeff / Hao, Jianjun / Nishimura, Yukio / Jen, Kane / Flannery, Colm / Li, Bin / Chao, Huang-Lin / Young, Soo et al. | 2006
- 61510L
-
Photonic crystals from step and flash imprint lithographyTaylor, J. Christopher / Hostetler, Tim / Kornilovich, Pavel / Kramer, Ken et al. | 2006
- 61510M
-
Defect inspection for imprint lithography using a die to database electron beam verification systemMyron, L. Jeff / Thompson, Ecron / McMackin, Ian / Resnick, Douglas J. / Kitamura, Tadashi / Hasebe, Toshiaki / Nakazawa, Shinichi / Tokumoto, Toshifumi / Ainley, Eric / Nordquist, Kevin et al. | 2006
- 61510N
-
Characterizing nanoimprint pattern cross-section and fidelity from x-ray reflectivityLee, Hae-Jeong / Soles, Christopher L. / Ro, Hyun W. / Hines, D. R. / Jones, Ronald L. / Lin, Eric K. / Karim, Alamgir / Wu, Wen-li et al. | 2006
- 61510O
-
Development status of EUV sources for use in beta-tools and high-volume chip manufacturing toolsStamm, U. / Kleinschmidt, J. / Bolshukhin, Denis / Brudermann, J. / Hergenhan, G. / Korobotchko, V. / Nikolaus, B. / Schürmann, M. C. / Schriever, G. / Ziener, C. et al. | 2006
- 61510P
-
Application of a high-brightness electrodeless Z-pinch EUV source for metrology, inspection, and resist developmentHorne, Stephen F. / Besen, Matthew M. / Smith, Donald K. / Blackborow, Paul A. / D'Agostino, Robert et al. | 2006
- 61510Q
-
EUV sources for the alpha-toolsPankert, Joseph / Apetz, Rolf / Bergmann, Klaus / Damen, Marcel / Derra, Günther / Franken, Oliver / Janssen, Maurice / Jonkers, Jeroen / Klein, Jürgen / Kraus, Helmar et al. | 2006
- 61510R
-
LPP EUV source development for HVMHansson, Björn A. M. / Fomenkov, Igor V. / Böwering, Norbert R. / Ershov, Alex I. / Partlo, William N. / Myers, David W. / Khodykin, Oleh V. / Bykanov, Alexander N. / Rettig, Curtis L. / Hoffman, Jerzy R. et al. | 2006
- 61510S
-
Development of CO2laser produced Xe plasma EUV light source for microlithographyMizoguchi, Hakaru / Endo, Akira / Ariga, Tatsuya / Miura, Taisuke / Hoshino, Hideo / Ueno, Yoshifumi / Nakano, Masaki / Komori, Hiroshi / Sumitani, Akira / Abe, Tamotsu et al. | 2006
- 61510T
-
Design and optimization of collectors for extreme ultraviolet lithographyZocchi, Fabio E. / Buratti, Enrico / Rigato, Valentino et al. | 2006
- 61510U
-
Defect printability study using EUV lithographyHolfeld, Christian / Bubke, Karsten / Lehmann, Falk / La Fontaine, Bruno / Pawloski, Adam R. / Schwarzl, Siegfried / Kamm, Frank-Michael / Graf, Thomas / Erdmann, Andreas et al. | 2006
- 61510V
-
EUV lithography simulation for the 32nm nodeKim, Eun Jin / Chang, Wook / Park, Jin Back / Kim, Sung Jin / Kim, Jong Sun / Oh, Hye-Keun et al. | 2006
- 61510W
-
Simulation analysis of printability of scratch and bump defects in EUV lithographySugawara, Minoru / Nishiyama, Iwao et al. | 2006
- 61510X
-
EUV imaging with a 13nm tabletop laser reaches sub-38 nm spatial resolutionVaschenko, Georgiy / Brizuela, Fernando / Brewer, Courtney / Larotonda, Miguel A. / Wang, Yong / Luther, Bradley M. / Marconi, Mario C. / Rocca, Jorge J. / Menoni, Carmen S. / Chao, Weilun et al. | 2006
- 61510Y
-
Investigation of the current resolution limits of advanced extreme ultraviolet (EUV) resistsNaulleau, Patrick P. / Rammeloo, Clemens / Cain, Jason P. / Dean, Kim / Denham, Paul / Goldberg, Kenneth A. / Hoef, Brian / La Fontaine, Bruno / Pawloski, Adam R. / Larson, Carl et al. | 2006
- 61510Z
-
Technology mapping technique for throughput enhancement of character projection equipmentSugihara, Makoto / Takata, Taiga / Nakamura, Kenta / Inanami, Ryoichi / Hayashi, Hiroaki / Kishimoto, Katsumi / Hasebe, Tetsuya / Kawano, Yukihiro / Matsunaga, Yusuke / Murakami, Kazuaki et al. | 2006
- 61511A
-
Novel low thermal expansion material for EUV applicationKawata, Mitsuhiro / Takada, Akira / Hayashi, Hideaki / Sugimoto, Naoki / Kikugawa, Shinya et al. | 2006
- 61511B
-
Plasma-assisted cleaning by electrostatics (PACE)Lytle, W. M. / Neumann, M. J. / Ruzic, D. N. et al. | 2006
- 61511C
-
Defect inspection of EUV mask blank using confocal microscopy: simulation and experimentKim, Seong-Sue / Park, Jinhong / Chalykh, Roman / Kang, Jiehun / Lee, SukJoo / Woo, Sang-Gyun / Cho, Han-Ku / Moon, Joo-Tae et al. | 2006
- 61511D
-
Evaluation of FIB and e-beam repairs for implementation on step and flash imprint lithography templatesYoung, S. R. / Dauksher, W. J. / Nordquist, K. J. / Ainley, E. S. / Gehoski, K. A. / Graupera, A. A. / Moriarty, M. H. et al. | 2006
- 61511E
-
Building 1x NIL templates: challenges and requirementsDiBiase, Tony / Maltabes, John / Reese, Bryan / Ahmadian, Mohsen et al. | 2006
- 61511F
-
Resolution improvement of EPL stencil mask using thin membraneSugimura, Hiroshi / Eguchi, Hideyuki / Norimoto, Masashi / Negishi, Yoshiyuki / Yonekura, Isao / Ito, Kojiro / Tamura, Akira / Koba, Fumihiro / Arimoto, Hiroshi et al. | 2006
- 61511G
-
Advanced image placement performance for the current EPL masksEguchi, Hideyuki / Sugimura, Hiroshi / Koike, Kaoru / Sakaue, Hiroshi / Arimoto, Hiroshi / Ogawa, Kentaro / Susa, Takashi / Kunitani, Shinji / Kurosu, Toshiaki / Yoshii, Takashi et al. | 2006
- 61511J
-
Assessment of electron projection lithography mask membrane image placement accuracy due to fabrication processesBoruszewski, Michael J. / Engelstad, Roxann L. / Dicks, Gerald A. / Sakaue, Hiroshi / Arimoto, Hiroshi et al. | 2006
- 61511N
-
Micro/nano lithography realized by chemical printingYao, Peng / Schneider, Garrett J. / Murakowski, Janusz / Murakowski, Maciej / Prather, Dennis W. et al. | 2006
- 61511P
-
EUV generation using a droplet of a suspension including tin as a target of a high-efficiency LPP source for high volume productionTomie, Toshihisa / Sarjono, - / Yashiro, H. / Moriwaki, H. / Matsushima, I. et al. | 2006
- 61511Q
-
Progress in LPP EUV source development at Osaka UniversityMiyanaga, Noriaki / Nishimura, Hiroaki / Fujioka, Shinsuke / Aota, Tatsuya / Uchida, Shigeaki / Yamaura, Michiteru / Shimada, Yoshinori / Hashimoto, Kazuhisa / Nagai, Keiji / Norimatsu, Takayoshi et al. | 2006
- 61511T
-
Compact source and beam delivery system for EUV radiationMann, Klaus / Barkusky, Frank / Bayer, Armin / Peth, Christian / Töttger, Holger et al. | 2006
- 61511U
-
Characterization of CCD sensor for actinic mask blank inspectionTezuka, Yoshihiro / Tanaka, Toshihiko / Terasawa, Tsuneo / Tomie, Toshihisa et al. | 2006
- 61511V
-
Performance and quality analysis of Mo-Si multilayers deposited by ion beam sputtering and magnetron sputteringHiruma, Kenji / Miyagaki, Shinji / Yamanashi, Hiromasa / Tanaka, Yuusuke / Cullins, Jerry / Nishiyama, Iwao et al. | 2006
- 61511W
-
Phase-shift mask for EUV lithographyConstancias, C. / Richard, M. / Joyeux, D. / Chiaroni, J. / Blanc, R. / Robic, J. Y. / Quesnel, E. / Muffato, V. et al. | 2006
- 61511X
-
Impact of multi-layer deposition method on defects for EUVL photomask blanksCullins, Jerry / Motai, Kumi / Nisiyama, Iwao et al. | 2006
- 61511Y
-
Combined absorber stack for optimization of the EUVL maskLee, Seung Y. / Kim, Tae G. / Kim, Chung Y. / Kang, In-Yong / Chung, Yong-Chae / Ahn, Jinho et al. | 2006
- 61511Z
-
High-precision (<1ppb/°C) optical heterodyne interferometric dilatometer for determining absolute CTE of EUVL materialsTakeichi, Yoshimasa / Nishiyama, Iwao / Yamada, Naofumi et al. | 2006
- 61512A
-
A character projection low energy electron beam direct writing system for device of small production lot with a variety of designNakamura, Fumihiko / Watanabe, Katsuhide / Kinoshita, Hidetoshi / Shinozaki, Hiroyuki / Kojima, Yasushi / Morita, Satoshi / Noguchi, Kouhei / Yamaguchi, Norihiro / Isokawa, Hisashi / Kushitani, Kazuhiko et al. | 2006
- 61512B
-
Reduced complexity compression algorithms for direct-write maskless lithography systemsLiu, Hsin-I / Dai, Vito / Zakhor, Avideh / Nikolic, Borivoje et al. | 2006
- 61512C
-
Effects of low-voltage electron beam lithographyBolorizadeh, Mehdi / Joy, David C. et al. | 2006
- 61512D
-
The effects of wafer-scan induced image blur on CD control, image slope, and process window in maskless lithographyChen, Yijian / Shroff, Yashesh et al. | 2006
- 61512E
-
Achieving mask-based imaging with optical maskless lithographyStone, Elizabeth M. / Hintersteiner, Jason D. / Cebuhar, Wenceslao A. / Albright, Ronald / Eib, Nicholas K. / Latypov, Azat / Baba-Ali, Nabila / Poultney, Sherman K. / Croffie, Ebo H. et al. | 2006
- 61512F
-
Organic-inorganic hybrid materials for nanoimprint lithographyKatayama, Junko / Yamaki, Shigeru / Mitsuyama, Masahiro / Hanabata, Makoto et al. | 2006
- 61512G
-
Planarization for reverse-tone step and flash imprint lithographyLin, Michael W. / Chao, Huang-Lin / Hao, Jianjun / Kim, Eui K. / Palmieri, Frank / Kim, Woon C. / Dickey, Michael / Ho, Paul S. / Willson, C. G. et al. | 2006
- 61512H
-
Study of nano-imprint for sub-100nm patterning by using SU-8 3000NIL resistSekiguchi, Atsushi / Kono, Yoshiyuki / Mori, Satoshi / Honda, Nao / Hirai, Yoshihiko et al. | 2006
- 61512I
-
Thermal stress kinetics in the microresist-silicon systemTamulevicius, S. / Grigaliunas, V. / Jucius, D. / Ostasevicius, V. / Palevicius, A. / Janusas, G. et al. | 2006
- 61512J
-
UV-nanoimprint lithography using a diamond-like carbon stampJeong, Jun-ho / Kim, Ki-don / Sim, Young-suk / Choi, Dae-geun / Lee, Eung-sug / Park, Sang-hu / Lim, Tae-woo / Yang, Dong-yol et al. | 2006
- 61512K
-
Imprint technology: A potential low-cost solution for sub-45nm device applicationsLe, Ngoc V. / Dauksher, William J. / Gehoski, Kathy A. / Nordquist, Kevin J. / Ainley, Eric / Mangat, Pawitter et al. | 2006
- 61512L
-
Nano-imprint of sub-100nm dots and complex shape features on 8-inch wafer: influence of layout designLandis, S. / Leveder, Tanguy / Chaix, N. / Perret, C. / Gourgon, Cécile et al. | 2006
- 61512O
-
Residual layer-free detachment-based nanolithographyKim, Jae Kwan / Park, Jee Won / Yang, Hongjoo / Choi, Mansoo / Choi, Joon Ho / Suh, Kahp Yang et al. | 2006
- 61512P
-
A simple lithographic method for fabricating micro/nano multiscale structuresJeong, Hoon Eui / Lee, Sung Hoon / Kim, Pilnam / Kim, Jae Kwan / Suh, Kahp Y. et al. | 2006
- 61512Q
-
Ultimate fine-pitch resist patterning using the ASET-HINAOizumi, H. / Tanaka, Y. / Kumasaka, F. / Nishiyama, I. et al. | 2006
- 61512R
-
Sub-32nm patterning using EUVL at ASETGoo, Doohoon / Tanaka, Yuusuke / Kikuchi, Yukiko / Oizumi, Hiroaki / Nishiyama, Iwao et al. | 2006
- 61512S
-
Printability of contact-hole patterns in EUVL using 0.3-NA HiNA opticsTanaka, Yuusuke / Oizumi, Hiroaki / Kikuchi, Yukiko / Goo, DooHoon / Kumasaka, Fumiaki / Nishiyama, Iwao et al. | 2006
- 61512T
-
Aerial-image modeling for the extreme ultraviolet microfield exposure tool at SEMATECH NorthNaulleau, Patrick / Dean, Kim / Lowack, Klaus et al. | 2006
- 61512U
-
The EUV resist test center at SEMATECH-NorthLowack, Klaus / Rudack, Andy / Dean, Kim / Malloy, Matt / Lercel, Mike et al. | 2006
- 61512V
-
Process window study with various partial coherences on EUV MET (micro exposure tool) opticsLee, Sang H. / Brewer, Courtney / Chandhok, Manish et al. | 2006
- 61512X
-
Advanced at-wavelength reflectometry with the EUV tubeEgbert, André / Becker, Stefan et al. | 2006
- 61512Z
-
Lithographic characterization of low-order aberrations in a 0.3-NA EUV microfield exposure toolNaulleau, Patrick / Cain, Jason / Dean, Kim / Goldberg, Kenneth A. et al. | 2006
- 61513B
-
Sub-100nm trackwidth development by e-beam lithography for advanced magnetic recording headsChang, Jei-Wei / Chen, Chao-Peng et al. | 2006
- 61513C
-
Fabrication of organic nanoparticles by PRINT: master generation using lithographic and RIE techniquesPandya, Ashish A. / Maynor, Benjamin W. / Gratton, Stephanie E. A. / Vellenga, David G. / Yu, D. Ginger / Osburn, Carlton M. / DeSimone, Joseph M. et al. | 2006
- 61513D
-
Proximity lithography membrane mask aeroelasticityHuston, Dryver / Burns, Dylan / Boerger, Brent / Selzer, Robert et al. | 2006
- 61513E
-
Electron beam lithography for high aspect-ratio trench patterning in thick resist: experimental and simulation resultsZhou, Jianyun / Xiao, Shuaigang / Scholz, Werner / Yang, XiaoMin et al. | 2006
- 61513F
-
Soft lithography using perfluorinated polyether molds and PRINT technology for fabrication of 3D arrays on glass substratesWiles, Kenton B. / Wiles, Natasha S. / Herlihy, Kevin P. / Maynor, Benjamin W. / Rolland, Jason P. / DeSimone, Joseph M. et al. | 2006
- 61513G
-
Photocurable pillar arrays formed via AC- and ultrasound-induced electrohydrodynamic instabilitiesTsiartas, Pavlos C. / Dickey, Michael D. / Allrich, Keris E. / Willson, C. G. et al. | 2006
- 61513I
-
Micro-stereo-lithography systemYoshimoto, T. / Miyaki, I. / Yaze, H. / Maruka, Y. / Ri, N. / Teramoto, T. / Morohoshi, K. / Koyagi, Y. et al. | 2006
- 61513J
-
Integrated simulation of line-edge roughness (LER) effects on sub-65nm transistor operation: From lithography simulation, to LER metrology, to device operationPatsis, G. P. / Constantoudis, V. / Gogolides, E. et al. | 2006
- 61513L
-
Using phase-mask algorithms to direct self assemblySchellenberg, F. M. / Torres, J. A. R. et al. | 2006
- 61513M
-
High-power pulsed CO2laser for EUV lithographyAriga, Tatsuya / Hoshino, Hideo / Miura, Taisuke / Endo, Akira et al. | 2006
- 61513N
-
Investigation of a novel discharge EUV source for microlithographyBauer, Bruno S. / Makhin, Volodymyr / Fuelling, Stephan / Lindemuth, Irvin R. et al. | 2006
- 61513O
-
Optical exposure characterization and comparisons for Sn EUV systemsQiu, Huatan / Thompson, Keith C. / Srivastava, Shailendra N. / Antonsen, Erik L. / Alman, Darren A. / Jurczyk, Brian E. / Ruzic, David N. et al. | 2006
- 61513P
-
Debris characterization and mitigation from a tin DPP EUV sourceThompson, Keith C. / Srivastava, Shailendra N. / Antonsen, Erik L. / Ruzic, David N. / Bristol, Robert L. et al. | 2006
- 61513R
-
EUV source collectorBöwering, Norbert R. / Ershov, Alex I. / Marx, William F. / Khodykin, Oleh V. / Hansson, Björn A. M. / Vargas L., Ernesto / Chavez, Juan A. / Fomenkov, Igor V. / Myers, David W. / Brandt, David C. et al. | 2006
- 61513S
-
EUV source developments on laser-produced plasmas using cryogenic Xe and Lithium new scheme targetMiyamoto, Shuji / Amano, Sho / Inoue, Takahiro / Nica, Petru-Edward / Shimoura, Atsushi / Kaku, Kakyo / Sekioka, Tsuguhisa / Mochizuki, Takayasu et al. | 2006
- 61513T
-
KrF laser driven xenon plasma light source of a small-field exposure toolAbe, Tamotsu / Moriya, Masato / Someya, Hiroshi / Soumagne, Georg / Suganuma, Takashi / Watanabe, Takayuki / Sumitani, Akira / Mizoguchi, Hakaru et al. | 2006
- 61513U
-
Studies on cryogenic Xe capillary jet target for laser-produced plasma EUV-light sourceInoue, T. / Nica, P. E. / Kaku, K. / Shimoura, A. / Amano, S. / Miyamoto, S. / Mochizuki, T. et al. | 2006
- 61513V
-
Energy spectra and charge states of debris emitted from laser-produced minimum mass tin plasmasFujioka, Shinsuke / Nishimura, Hiroaki / Ando, Tsuyoshi / Ueda, Nobuyoshi / Namba, Shinichi / Aota, Tatsuya / Murakami, Masakatsu / Nishihara, Katsunobu / Kang, Young-G. / Sunahara, Atsushi et al. | 2006
- 61513W
-
Analysis of the emission spectrum of Xe and SnSasaki, A. / Nishihara, K. / Sunahara, A. / Nishikawa, T. / Koike, F. / Kagawa, K. / Tanuma, H. et al. | 2006
- 61513X
-
High-power low cost drive laser for LPP sourceFomenkov, Igor V. / Hansson, Björn A. M. / Böwering, Norbert R. / Ershov, Alex I. / Partlo, William N. / Fleurov, Vladimir B. / Khodykin, Oleh V. / Bykanov, Alexander N. / Rettig, Curtis L. / Hoffman, Jerzy R. et al. | 2006
- 61513Y
-
Optimization of EUV/SXR plasma radiation source characteristicsMacFarlane, J. J. / Wang, P. / Golovkin, I. E. / Woodruff, P. R. et al. | 2006
- 61514A
-
High-temperature LPP collector mirrorFeigl, Torsten / Yulin, Sergiy / Benoit, Nicolas / Kaiser, Norbert / Böwering, Norbert R. / Ershov, Alex I. / Khodykin, Oleh V. / Viatella, John W. / Bruzzone, Kent / Fomenkov, Igor V. et al. | 2006
- 61514B
-
Debris mitigation for EUV sources using directional gas flowsSoer, Wouter / Klunder, Dion / van Herpen, Maarten / Bakker, Leon / Banine, Vadim et al. | 2006
- 615101
-
A year in the life of an immersion lithography alpha tool at Albany Nanotech (Keynote Address) [6151-01]Tittnich, M. / Hartley, J. / Denbeaux, G. / Okoroanyanwu, U. / Levinson, H. / Petrillo, K. / Robinson, C. / Gil, D. / Corliss, D. / Back, D. et al. | 2006
- 615101
-
A year in the life of an immersion lithography alpha tool at Albany NanoTechTittnich, Michael / Hartley, John G. / Denbeaux, Greg / Okoroanyanwu, Uzo / Levinson, Harry / Petrillo, Karen E. / Robinson, Chris / Gil, Dario / Corliss, Dan / Back, David et al. | 2006
- 615104
-
EUV pellicle development for mask defect control [6151-04]Shroff, Y. A. / Goldstein, M. / Rice, B. / Lee, S. H. / Ravi, K. V. / Tanzil, D. / SPIE-- the International Society for Optical Engineering et al. | 2006
- 615104
-
EUV pellicle development for mask defect controlShroff, Yashesh A. / Goldstein, Michael / Rice, Bryan / Lee, Sang H. / Ravi, K. V. / Tanzil, Daniel et al. | 2006
- 615105
-
Nikon EUVL development progress summary [6151-05]Miura, T. / Murakami, K. / Suzuki, K. / Kohama, Y. / Ohkubo, Y. / Asami, T. / SPIE-- the International Society for Optical Engineering et al. | 2006
- 615105
-
Nikon EUVL development progress summaryMiura, Takaharu / Murakami, Katsuhiko / Suzuki, Kazuaki / Kohama, Yoshiaki / Ohkubo, Yukiharu / Asami, Takeshi et al. | 2006
- 615106
-
Schwarzschild-objective-based EUV micro-exposure tool [6151-06]Zeitner, U. D. / Feigl, T. / Benkenstein, T. / Damm, C. / Peschel, T. / Kaiser, N. / Tunnermann, A. / SPIE-- the International Society for Optical Engineering et al. | 2006
- 615106
-
Schwarzschild-objective-based EUV micro-exposure toolZeitner, Uwe D. / Feigl, Torsten / Benkenstein, Tino / Damm, Christoph / Peschel, Thomas / Kaiser, Norbert / Tünnermann, Andreas et al. | 2006
- 615107
-
Evaluation of resolution and LER in the resist patterns replicated by EUV microexposure toolsKikuchi, Yukiko / Tanaka, Yuusuke / Oizumi, Hiroaki / Kumasaka, Fumiaki / Goo, DooHoon / Nishiyama, Iwao et al. | 2006
- 615107
-
Evaluation of resolution and LER in the resist patterns replicated by EUV micro-exposure tools [6151-07]Kikuchi, Y. / Tanaka, Y. / Oizumi, H. / Kumasaka, F. / Goo, D. / Nishiyama, I. / SPIE-- the International Society for Optical Engineering et al. | 2006
- 615108
-
First performance results of the ASML alpha demo tool [6151-08]Meiling, H. / Meijer, H. / Banine, V. / Moors, R. / Groeneveld, R. / Voorma, H.-J. / Mickan, U. / Wolschrijn, B. / Mertens, B. / van Baars, G. et al. | 2006
- 615108
-
First performance results of the ASML alpha demo toolMeiling, Hans / Meijer, Henk / Banine, Vadim / Moors, Roel / Groeneveld, Rogier / Voorma, Harm-Jan / Mickan, Uwe / Wolschrijn, Bas / Mertens, Bas / van Baars, Gregor et al. | 2006
- 615109
-
EUVL mask blanks: Recent results on substrates, multilayers and the dry-etch process of TaN-absorbersSeitz, Holger / Renno, Markus / Leutbecher, Thomas / Olschewski, Nathalie / Reichardt, Torsten / Walter, Ronny / Popp, Helmut / Hess, Günter / Letzkus, Florian / Butschke, Jörg et al. | 2006
- 615109
-
EUVL mask blanks: recent results on substrates, multilayers, and the dry-etch process of TaN-absorbers [6151-09]Seitz, H. / Renno, M. / Leutbecher, T. / Olschewski, N. / Reichardt, T. / Walter, R. / Popp, H. / Hess, G. / Letzkus, F. / Butschke, J. et al. | 2006
- 615112
-
High-sensitivity interferometric schemes for ML2 micromirror calibrations [6151-39]Wang, J.-S. / Solgaard, O. / Neureuther, A. R. / SPIE-- the International Society for Optical Engineering et al. | 2006
- 615112
-
High-sensitivity interferometric schemes for ML2 micromirror calibrationsWang, Jen-Shiang / Solgaard, Olav / Neureuther, Andrew R. et al. | 2006
- 615113
-
Multi-scale modelling of nano-imprint lithographyMendels, David A. et al. | 2006
- 615113
-
Multi-scale modeling of nano-imprint lithography [6151-40]Mendels, D.-A. / SPIE-- the International Society for Optical Engineering et al. | 2006
- 615114
-
Increasing effective resolution through surface conditioners for 1x imprint templates, and photo mask applications beyond 65nmSelinidis, Kosta S. / Maltabes, John G. / Rao, Madhukar B. / Zhang, Peng et al. | 2006
- 615114
-
Increasing effective resolution through surface conditioners for 1x imprint templates and photo mask applications beyond 65nm [6151-41]Selinidis, K. S. / Maltabes, J. G. / Rao, M. B. / Zhang, P. / SPIE-- the International Society for Optical Engineering et al. | 2006
- 615115
-
NIL template manufacturing using a variable shaped e-beam writer and a new pCARIrmscher, Mathias / Butschke, Joerg / Hess, Guenter / Koepernik, Corinna / Letzkus, Florian / Renno, Markus / Sailer, Holger / Schulz, Hubert / Schwersenz, Anatol / Thompson, Ecron et al. | 2006
- 615115
-
NIL template manufacturing using a variable shaped e-beam writer and a new pCAR [6151-42]Irmscher, M. / Butschke, J. / Hess, G. / Koepernik, C. / Letzkus, F. / Renno, M. / Sailer, H. / Schulz, H. / Schwersenz, A. / Thompson, E. et al. | 2006
- 615116
-
The role of stress in nanoimprint lithographyRo, Hyun W. / Ding, Yifu / Lee, Hae-Jeong / Hines, Daniel R. / Jones, Ronald L. / Lin, Eric K. / Karim, Alamgir / Wu, Wen-li / Soles, Christopher L. et al. | 2006
- 615116
-
The role of stress in nanoimprint lithography [6151-43]Ro, H. W. / Ding, Y. / Lee, H.-J. / Hines, D. R. / Jones, R. L. / Lin, E. K. / Karim, A. / Wu, W. / Soles, C. L. / SPIE-- the International Society for Optical Engineering et al. | 2006
- 615117
-
Vapor deposited release layers for nanoimprint lithography [6151-44]Zhang, T. / Kobrin, B. / Wanebo, M. / Nowak, R. / Yi, R. / Chinn, J. / Bender, M. / Fuchs, A. / Otto, M. / SPIE-- the International Society for Optical Engineering et al. | 2006
- 615117
-
Vapor deposited release layers for nanoimprint lithographyZhang, Tong / Kobrin, Boris / Wanebo, Mike / Nowak, Romek / Yi, Richard / Chinn, Jeff / Bender, Markus / Fuchs, Andreas / Otto, Martin et al. | 2006
- 615119
-
Phase defect observation using an EUV microscope [6151-46]Hamamoto, K. / Tanaka, Y. / Yoshizumi, T. / Fukushima, Y. / Shiotani, H. / Sakaya, N. / Hosoya, M. / Shoki, T. / Watanabe, T. / Kinoshita, H. et al. | 2006
- 615119
-
Phase defect observation using an EUV microscopeHamamoto, Kazuhiro / Tanaka, Yuzuru / Yoshizumi, Takahiro / Fukushima, Yasuyuki / Shiotani, Hideaki / Sakaya, Noriyuki / Hosoya, Morio / Shoki, Tsutomu / Watanabe, Takeo / Kinoshita, Hiroo et al. | 2006
- 615120
-
Actinic EUVL mask blank defect inspection by EUV photoelectron microscopyKleineberg, Ulf / Lin, Jingquan / Neuhaeusler, Ulrich / Slieh, Jawad / Heinzmann, Ulrich / Weber, Nils / Escher, Matthias / Merkel, Michael / Oelsner, Andreas / Valsaitsev, Dima et al. | 2006
- 615120
-
Actinic EUVL mask blank defect inspection by EUV photoelectron microscopy [6151-73]Kleineberg, U. / Lin, J. / Neuhaeusler, U. / Slieh, J. / Heinzmann, U. / Weber, N. / Escher, M. / Merkel, M. / Oelsner, A. / Valsaitsev, D. et al. | 2006
- 615121
-
Numerical modeling of absorber characteristics for EUVLKang, In-Yong / Ahn, Jinho / Oh, Hye-Keun / Chung, Yong-Chae et al. | 2006
- 615121
-
Numerical modeling of absorber characteristics for EUVL [6151-74]Kang, I.-Y. / Ahn, J. / Oh, H.-K. / Chung, Y.-C. / SPIE-- the International Society for Optical Engineering et al. | 2006
- 615122
-
Characterization of striae in ULE for EUVL optics and masksRosch, William / Beall, Lorrie / Maxon, John / Sabia, Robert / Sell, Robert et al. | 2006
- 615122
-
Characterization of striae in ULE for EUVL optics and masks [6151-75]Rosch, W. / Beall, L. / Maxon, J. / Sabia, R. / Sell, R. / SPIE-- the International Society for Optical Engineering et al. | 2006
- 615123
-
Striae evaluation of TiO2-SiO2ultra-low expansion glasses using the line-focus-beam ultrasonic material characterization systemArakawa, Mototaka / Kushibiki, Jun-ichi / Ohashi, Yuji et al. | 2006
- 615123
-
Striae evaluation of TiO~2-SiO~2 ultra-low expansion glasses using the line-focus-beam ultrasonic material characterization system [6151-76]Arakawa, M. / Kushibiki, J. / Ohashi, Y. / SPIE-- the International Society for Optical Engineering et al. | 2006
- 615124
-
Three-dimensional rigorous simulation of EUV defective masks using modal method by Fourier expansion [6151-77]Smaali, R. / Besacier, M. / Schiavone, P. / SPIE-- the International Society for Optical Engineering et al. | 2006
- 615124
-
Three-dimensional rigorous simulation of EUV defective masks using modal method by Fourier expansionSmaali, Rafik / Besacier, Maxime / Schiavone, Patrick et al. | 2006
- 615126
-
Data conversion system for character projection-type low-energy electron beam direct writing system [6151-79]Inanami, R. / Kishimoto, K. / Nakai, K. / Ichioka, Y. / Kitamura, K. / Yamada, R. / Magoshi, S. / SPIE-- the International Society for Optical Engineering et al. | 2006
- 615126
-
Data conversion system for character projection-type low-energy electron beam direct writing systemInanami, Ryoichi / Kishimoto, Katsumi / Nakai, Kazuhiro / Ichioka, Yoshikazu / Kitamura, Kiyoshi / Yamada, Ryo / Magoshi, Shunko et al. | 2006
- 615127
-
Tri-layer resist process for fabricating sub 45-nm L&S patterns by EPLKoba, Fumihiro / Matsumaro, Kazuyuki / Soda, Eiichi / Watanabe, Tadayoshi / Matsubara, Yoshihisa / Arimoto, Hiroshi / Matsumiya, Tasuku / Kawana, Daisuke / Yamashita, Naoki / Fujii, Yasushi et al. | 2006
- 615127
-
Tri-layer resists process for fabricating sub-45-nm L and S patterns by EPL [6151-80]Koba, F. / Matsumaro, K. / Soda, E. / Watanabe, T. / Matsubara, Y. / Arimoto, H. / Matsumiya, T. / Kawana, D. / Yamashita, N. / Fujii, Y. et al. | 2006
- 615128
-
New proximity effect correction for under 100nm patternsShoji, Masahiro / Horiuchi, Nobuyasu / Chikanaga, Tomoyuki / Niinuma, Takashi / Tsunoda, Dai et al. | 2006
- 615128
-
New proximity effect correction for under 100nm patterns [6151-81]Shoji, M. / Horiuchi, N. / Chikanaga, T. / Niinuma, T. / Tsunoda, D. / SPIE-- the International Society for Optical Engineering et al. | 2006
- 615129
-
Model-based lithography verification system for multilayer structure in electron-beam direct writingOgino, Kozo / Hoshino, Hiromi / Machida, Yasuhide et al. | 2006
- 615129
-
Model-based lithography verification system for multilayer structure in electron-beam direct writing [6151-82]Ogino, K. / Hoshino, H. / Machida, Y. / SPIE-- the International Society for Optical Engineering et al. | 2006
- 615130
-
EUV optical system for the reticle imaging microscope (RIM) [6151-157]Glatzel, H. / Daniel, J. / Khajehnouri, K. / Mueller, U. / Roff, T. / Rosenbohm, J. / Sporer, S. / SPIE-- the International Society for Optical Engineering et al. | 2006
- 615130
-
EUV optical system for the reticle imaging microscope (RIM)Glatzel, H. / Daniel, J. / Khajehnouri, K. / Mueller, U. / Roff, T. / Rosenbohm, J. / Sporer, S. et al. | 2006
- 615131
-
Effect of charged-particle bombardment on collector mirror reflectivity in EUV lithography devices [6151-105]Allain, J. P. / Nieto, M. / Hassanein, A. / Titov, V. / Plotkin, P. / Hendricks, M. / Hinson, E. / Chrobak, C. / van der Velden, M. H. L. / Rice, B. et al. | 2006
- 615131
-
Effect of charged-particle bombardment on collector mirror reflectivity in EUV lithography devicesAllain, J. P. / Nieto, M. / Hassanein, A. / Titov, V. / Plotkin, P. / Hendricks, M. / Hinson, E. / Chrobak, C. / van der Velden, M. H. L. / Rice, B. et al. | 2006
- 615132
-
Active cleaning for lithium-coated optics for HVM EUV systemsNeumann, M. J. / Ritz, E. / Defrees, R. A. / Cruce, M. / Qiu, H. / Ruzic, D. N. / Bristol, R. / Ershov, A. / Khodykin, O. et al. | 2006
- 615133
-
Halide etching for tin EUV optics cleaningShin, Hyung Joo / Jurczyk, Brian E. / Ruzic, D. N. / Bristol, Robert et al. | 2006
- 615134
-
New contamination experimental equipment in the NewSUBARU and evaluation of Si-capped multilayer mirrors using itNiibe, Masahito / Kakutani, Yukinobu / Terashima, Shigeru / Takase, Hiromitsu / Gomei, Yoshio / Matsunari, Shuichi / Aoki, Takashi / Murakami, Katsuhiko / Fukuda, Yasuaki et al. | 2006
- 615134
-
New contamination experimental equipment in the NewSUBARU and evaluation of Sicapped multilayer mirrors using it [6151-108]Niibe, M. / Kakutani, Y. / Terashima, S. / Takase, H. / Gomei, Y. / Matsunari, S. / Aoki, T. / Murakami, K. / Fukuda, Y. / SPIE-- the International Society for Optical Engineering et al. | 2006
- 615135
-
Study of ruthenium-capped multilayer mirror for EUV irradiation durabilityTakase, Hiromitsu / Terashima, Shigeru / Gomei, Yoshio / Tanabe, Masayuki / Watanabe, Yutaka / Aoki, Takashi / Murakami, Katsuhiko / Matsunari, Shuichi / Niibe, Masahito / Kakutani, Yukinobu et al. | 2006
- 615135
-
Study of ruthenium-capped multilayer mirror for EUV irradiation durability [6151-109]Takase, H. / Terashima, S. / Gomei, Y. / Tanabe, M. / Watanabe, Y. / Aoki, T. / Murakami, K. / Matsunari, S. / Niibe, M. / Kakutani, Y. et al. | 2006
- 615136
-
Table-top EUV reflectometer [6151-110]Hinze, U. / Chichkov, B. / SPIE-- the International Society for Optical Engineering et al. | 2006
- 615136
-
Table-top EUV reflectometerHinze, U. / Chichkov, B. et al. | 2006
- 615137
-
Polarization dependence of multilayer reflectance in the EUV spectral rangeScholze, Frank / Laubis, Christian / Buchholz, Christian / Fischer, Andreas / Plöger, Sven / Scholz, Frank / Ulm, Gerhard et al. | 2006
- 615137
-
Polarization dependence of multilayer reflectance in the EUV spectral range [6151-111]Scholze, F. / Laubis, C. / Buchholz, C. / Fischer, A. / Ploger, S. / Scholz, F. / Ulm, G. / SPIE-- the International Society for Optical Engineering et al. | 2006
- 615139
-
Multilayer optics with spectral purity layers for the EUV wavelength range [6151-155]Louis, E. / van de Kruijs, R. W. E. / Yakshin, A. E. / van der Westen, S. A. / Bijkerk, F. / van Herpen, M. M. J. W. / Klunder, D. J. W. / Bakker, L. / Enkisch, H. / Mullender, S. et al. | 2006
- 615139
-
Multilayer optics with spectral purity layers for the EUV wavelength rangeLouis, E. / van de Kruijs, R. W .E. / Yakshin, A. E. / van der Westen, S. Alonso / Bijkerk, F. / van Herpen, M. M. J. W. / Klunder, D. J. W. / Bakker, L. / Enkisch, H. / Müllender, S. et al. | 2006
- 615143
-
EUV generation from lithium laser plasma for lithography [6151-143]George, S. A. / Silfvast, W. / Takenoshita, K. / Bernath, R. / Koay, C.-S. / Shimkaveg, G. / Richardson, M. / Al-Rabban, M. / Scott, H. / SPIE-- the International Society for Optical Engineering et al. | 2006
- 615143
-
EUV generation from lithium laser plasma for lithographyGeorge, Simi A. / Silfvast, William / Takenoshita, Kazutoshi / Bernath, Robert / Koay, Chiew-Seng / Shimkaveg, Greg / Richardson, Martin / Al-Rabban, Moza / Scott, Howard et al. | 2006
- 615145
-
Enhancement of conversion efficiency of extreme ultraviolet radiation from a liquid aqueous solution microjet target by use of dual laser pulses [6151-146]Higashiguchi, T. / Dojyo, N. / Hamada, M. / Kawasaki, K. / Sasaki, W. / Kubodera, S. / SPIE-- the International Society for Optical Engineering et al. | 2006
- 615145
-
Enhancement of conversion efficiency of extreme ultraviolet radiation from a liquid aqueous solution microjet target by use of dual laser pulsesHigashiguchi, Takeshi / Dojyo, Naoto / Hamada, Masaya / Kawasaki, Keita / Sasaki, Wataru / Kubodera, Shoichi et al. | 2006
- 615146
-
Study of the dynamic evolution and spectral properties of multi-component plasmas for EUV productionSpencer, Joshua B. / Srivastava, Shailendra N. / Alman, Darren A. / Antonsen, Erik L. / Ruzic, David N. / MacFarlane, Joseph J. et al. | 2006
- 615146
-
Study of the dynamic evolution and spectral properties of multi-component plasmas for EUV production [6151-147]Spencer, J. B. / Srivastava, S. N. / Alman, D. A. / Antonsen, E. L. / Ruzic, D. N. / MacFarlane, J. J. / SPIE-- the International Society for Optical Engineering et al. | 2006
- 615147
-
Development of Xe- and Sn-fueled high-power Z-pinch EUV source aiming at HVM [6151-148]Teramoto, Y. / Niimi, G. / Yamatani, D. / Joshima, Y. / Bessho, K. / Shirai, T. / Takemura, T. / Yokota, T. / Yabuta, H. / Paul, K. C. et al. | 2006
- 615147
-
Development of Xe- and Sn-fueled high-power Z-pinch EUV source aiming at HVMTeramoto, Yusuke / Niimi, Gohta / Yamatani, Daiki / Joshima, Yuki / Bessho, Kazunori / Shirai, Takahiro / Takemura, Tetsu / Yokota, Toshio / Yabuta, Hironobu / Paul, Khokan C. et al. | 2006
-
Modeling methodologies and defect printability maps for buried defects in EUV mask blanks [6151-13]Lam, M. C. / Neureuther, A. R. / SPIE-- the International Society for Optical Engineering et al. | 2006
-
Development of CO~2 laser produced Xe plasma EUV light source for microlithography [6151-27]Mizoguchi, H. / Endo, A. / Ariga, T. / Miura, T. / Hoshino, H. / Ueno, Y. / Nakano, M. / Komori, H. / Sumitani, A. / Abe, T. et al. | 2006
-
Development status of EUV sources for use in beta-tools and high-volume chip manufacturing tools [6151-24]Stamm, U. / Kleinschmidt, J. / Bolshukhin, D. / Brudermann, J. / Hergenhan, G. / Korobotchko, V. / Nikolaus, B. / Schurmann, M. C. / Schriever, G. / Ziener, C. et al. | 2006
-
EUV imaging with a 13 nm tabletop laser reaches sub-38 nm spatial resolution [6151-33]Vaschenko, G. / Brizuela, F. / Brewer, C. / Larotonda, M. A. / Wang, Y. / Luther, B. M. / Marconi, M. C. / Rocca, J. J. / Menoni, C. S. / Chao, W. et al. | 2006
-
Phase-shift mask for EUV lithography [6151-69]Constancias, C. / Richard, M. / Joyeux, D. / Chiaroni, J. / Blanc, R. / Robic, J. Y. / Quesnel, E. / Muffato, V. / SPIE-- the International Society for Optical Engineering et al. | 2006
-
Combined absorber stack for optimization of the EUVL mask [6151-71]Lee, S. Y. / Kim, T. G. / Kim, C. Y. / Kang, I.-Y. / Chung, Y.-C. / Ahn, J. / SPIE-- the International Society for Optical Engineering et al. | 2006
-
Organic-inorganic hybrid materials for nanoimprint lithography [6151-88]Katayama, J. / Yamaki, S. / Mitsuyama, M. / Hanabata, M. / SPIE-- the International Society for Optical Engineering et al. | 2006
-
Planarization for reverse-tone step and flash imprint lithography [6151-89]Lin, M. W. / Chao, H.-L. / Hao, J. / Kim, E. K. / Palmieri, F. / Kim, W. C. / Dickey, M. / Ho, P. S. / Willson, C. G. / SPIE-- the International Society for Optical Engineering et al. | 2006
-
UV-nanoimprint lithography using a diamond-like carbon stamp [6151-92]Jeong, J. / Kim, K. / Sim, Y. / Choi, D. / Lee, E. / Park, S. / Lim, T. / Yang, D. / SPIE-- the International Society for Optical Engineering et al. | 2006
-
EUV testing of multilayer mirrors: critical issues [6151-15]Hill, S. B. / Ermanoski, I. / Grantham, S. / Tarrio, C. / Lucatorto, T. B. / Madey, T. E. / Bajt, S. / Chandhok, M. / Yan, P. / Wood, O. et al. | 2006
-
Characterization of large off-axis EUV mirrors with high accuracy reflectometry at PTB [6151-18]Laubis, C. / Buchholz, C. / Fischer, A. / Ploger, S. / Scholz, F. / Wagner, H. / Scholze, F. / Ulm, G. / Enkisch, H. / Mullender, S. et al. | 2006
-
Application of a high-brightness electrode-less Z-pinch EUV source for metrology, inspection, and resist development [6151-28]Horne, S. F. / Besen, M. M. / Smith, D. K. / Blackborow, P. A. / D Agostino, R. / SPIE-- the International Society for Optical Engineering et al. | 2006
-
Novel low thermal expansion material for EUV application [6151-47]Kawata, M. / Takada, A. / Hayashi, H. / Sugimoto, N. / Kikugawa, S. / SPIE-- the International Society for Optical Engineering et al. | 2006
-
Advanced at-wavelength reflectometry with the EUV tube [6151-102]Egbert, A. / Becker, S. / SPIE-- the International Society for Optical Engineering et al. | 2006
-
High-power low cost drive laser for LPP source [6151-137]Fomenkov, I. V. / Hansson, B. A. M. / Bowering, N. R. / Ershov, A. I. / Partlo, W. N. / Fleurov, V. B. / Khodykin, O. V. / Bykanov, A. N. / Rettig, C. L. / Hoffman, J. R. et al. | 2006
-
Photonic crystals from step and flash imprint lithography [6151-21]Taylor, J. C. / Hostetler, T. / Kornilovich, P. / Kramer, K. / SPIE-- the International Society for Optical Engineering et al. | 2006
-
Characterizing nano-imprint pattern cross-section and fidelity from x-ray reflectivity [6151-23]Lee, H.-J. / Soles, C. L. / Ro, H. W. / Hines, D. R. / Jones, R. L. / Lin, E. K. / Karim, A. / Wu, W. / SPIE-- the International Society for Optical Engineering et al. | 2006
-
Printability of contact-hole patterns in EUVL using 0.3-NA HiNA optics [6151-97]Tanaka, Y. / Oizumi, H. / Kikuchi, Y. / Goo, D. / Kumasaka, F. / Nishiyama, I. / SPIE-- the International Society for Optical Engineering et al. | 2006
-
Photocurable pillar arrays formed via AC- and ultrasound-induced electrohydrodynamic instabilities [6151-120]Tsiartas, P. C. / Dickey, M. D. / Allrich, K. E. / Willson, C. G. / SPIE-- the International Society for Optical Engineering et al. | 2006
-
Effect of residual gas atmosphere on lifetime of Ru-capped EUVL projection optics mirror [6151-17]Kakutani, Y. / Niibe, M. / Gomei, Y. / Takase, H. / Terashima, S. / Matsunari, S. / Aoki, T. / Murakami, K. / Fukuda, Y. / SPIE-- the International Society for Optical Engineering et al. | 2006
-
Technology mapping technique for throughput enhancement of character projection equipment [6151-36]Sugihara, M. / Takata, T. / Nakamura, K. / Inanami, R. / Hayashi, H. / Kishimoto, K. / Hasebe, T. / Kawano, Y. / Matsunaga, Y. / Murakami, K. et al. | 2006
-
Effects of low-voltage electron beam lithography [6151-85]Bolorizadeh, M. / Joy, D. C. / SPIE-- the International Society for Optical Engineering et al. | 2006
-
Residual layer-free detachment-based nanolithography [6151-158]Kim, J. K. / Park, J. W. / Yang, H. / Choi, M. / Choi, J. H. / Suh, K. Y. / SPIE-- the International Society for Optical Engineering et al. | 2006
-
Sub-32 nm patterning using EUVL at ASET [6151-96]Goo, D. / Tanaka, Y. / Kikuchi, Y. / Oizumi, H. / Nishiyama, I. / SPIE-- the International Society for Optical Engineering et al. | 2006
-
Micro-stereo-lithography system [6151-122]Yoshimoto, T. / Miyaki, I. / Yaze, H. / Maruka, Y. / Ri, N. / Teramoto, T. / Morohoshi, K. / Koyagi, Y. / SPIE-- the International Society for Optical Engineering et al. | 2006
-
Demonstration of phase-shift masks for extreme-ultraviolet lithography [6151-10]La Fontaine, B. / Pawloski, A. R. / Wood, O. / Deng, Y. / Levinson, H. J. / Naulleau, P. / Denham, P. E. / Gullikson, E. / Hoef, B. / Holfeld, C. et al. | 2006
-
RIM-13: a high-resolution imaging tool for aerial image monitoring of patterned and blank EUV reticles [6151-11]Booth, M. / Brunton, A. / Cashmore, J. / Elbourn, P. / Elliner, G. / Gower, M. / Greuters, J. / Hirsch, J. / Kling, L. / McEntee, N. et al. | 2006
-
EUV sources for the alpha-tools [6151-25]Pankert, J. / Apetz, R. / Bergmann, K. / Damen, M. / Derra, G. / Franken, O. / Janssen, M. / Jonkers, J. / Klein, J. / Kraus, H. et al. | 2006
-
Reduced complexity compression algorithms for direct-write maskless lithography systems [6151-84]Liu, H.-I. / Dai, V. / Zakhor, A. / Nikolic, B. / SPIE-- the International Society for Optical Engineering et al. | 2006
-
The effects of wafer-scan induced image blur on CD control, image slope, and process window in maskless lithography [6151-86]Chen, Y. / Shroff, Y. / SPIE-- the International Society for Optical Engineering et al. | 2006
-
A simple lithographic method for fabricating micro/nano multiscale structures [6151-159]Jeong, H. E. / Lee, S. H. / Kim, P. / Kim, J. K. / Suh, K. Y. / SPIE-- the International Society for Optical Engineering et al. | 2006
-
Fabrication of organic nanoparticles by PRINT: master generation using lithographic and RIE techniques [6151-115]Pandya, A. A. / Maynor, B. W. / Gratton, S. E. A. / Vellenga, D. G. / Yu, D. G. / Osburn, C. M. / DeSimone, J. M. / SPIE-- the International Society for Optical Engineering et al. | 2006
-
EUV source collector [6151-131]Bowering, N. R. / Ershov, A. I. / Marx, W. F. / Khodykin, O. V. / Hansson, B. A. M. / Vargas L, E. / Chavez, J. A. / Fomenkov, I. V. / Myers, D. W. / Brandt, D. C. et al. | 2006
-
Studies on cryogenic Xe capillary jet target for laser-produced plasma EUV-light source [6151-134]Inoue, T. / Nica, P. E. / Kaku, K. / Shimoura, A. / Amano, S. / Miyamoto, S. / Mochizuki, T. / SPIE-- the International Society for Optical Engineering et al. | 2006
-
Visible light point-diffraction interferometer for testing of EUVL optics [6151-14]Takeuchi, S. / Kakuchi, O. / Yamazoe, K. / Gomei, Y. / Decker, T. A. / Johnson, M. A. / Phillion, D. W. / Taylor, J. S. / SPIE-- the International Society for Optical Engineering et al. | 2006
-
Investigation of the current resolution limits of advanced extreme ultraviolet (EUV) resists [6151-34]Naulleau, P. P. / Rammeloo, C. / Cain, J. P. / Dean, K. / Denham, P. / Goldberg, K. A. / Hoef, B. / La Fontaine, B. / Pawloski, A. R. / Larson, C. et al. | 2006
-
Resolution improvement of EPL stencil mask using thin membrane [6151-52]Sugimura, H. / Eguchi, H. / Norimoto, M. / Negishi, Y. / Yonekura, I. / Ito, K. / Tamura, A. / Koba, F. / Arimoto, H. / SPIE-- the International Society for Optical Engineering et al. | 2006
-
Assessment of electron projection lithography mask membrane image placement accuracy due to fabrication processes [6151-56]Boruszewski, M. J. / Engelstad, R. L. / Dicks, G. A. / Sakaue, H. / Arimoto, H. / SPIE-- the International Society for Optical Engineering et al. | 2006
-
The EUV resist test center at Sematech-North [6151-99]Lowack, K. / Rudack, A. / Dean, K. / Malloy, M. / Lercel, M. / SPIE-- the International Society for Optical Engineering et al. | 2006
-
Multi-level step and flash imprint lithography for direct patterning of dielectrics [6151-19]Palmieri, F. / Stewart, M. D. / Wetzel, J. / Hao, J. / Nishimura, Y. / Jen, K. / Flannery, C. / Li, B. / Chao, H.-L. / Young, S. et al. | 2006
-
Evaluation of FIB and e-beam repairs for implementation on step and flash imprint lithography templates [6151-50]Young, S. R. / Dauksher, W. J. / Nordquist, K. J. / Ainley, E. S. / Gehoski, K. A. / Graupera, A. A. / Moriarty, M. H. / SPIE-- the International Society for Optical Engineering et al. | 2006
-
Advanced image placement performance for the current EPL masks [6151-53]Eguchi, H. / Sugimura, H. / Koike, K. / Sakaue, H. / Arimoto, H. / Ogawa, K. / Susa, T. / Kunitani, S. / Kurosu, T. / Yoshii, T. et al. | 2006
-
Nano-imprint of sub-100 nm dots and complex shape features on 8-inch wafer: influence of layout design [6151-94]Landis, S. / Leveder, T. / Chaix, N. / Perret, C. / Gourgon, C. / SPIE-- the International Society for Optical Engineering et al. | 2006
-
Soft lithography using perfluorinated polyether molds and PRINT technology for fabrication of 3D arrays on glass substrates [6151-119]Wiles, K. B. / Wiles, N. S. / Herlihy, K. P. / Maynor, B. W. / Rolland, J. P. / DeSimone, J. M. / SPIE-- the International Society for Optical Engineering et al. | 2006
-
EUV mask and chuck analysis: simulation and experimentation [6151-12]Nataraju, M. / Sohn, J. / Mikkelson, A. R. / Turner, K. T. / Engelstad, R. L. / Van Peski, C. K. / SPIE-- the International Society for Optical Engineering et al. | 2006
-
Model of Ru surface oxidation for the lifetime scaling of EUVL projection optics mirror [6151-16]Nishiyama, I. / SPIE-- the International Society for Optical Engineering et al. | 2006
-
LPP EUV source development for HVM [6151-26]Hansson, B. A. M. / Fomenkov, I. V. / Bowering, N. R. / Ershov, A. I. / Partlo, W. N. / Myers, D. W. / Khodykin, O. V. / Bykanov, A. N. / Rettig, C. L. / Hoffman, J. R. et al. | 2006
-
EUV lithography simulation for the 32 nm node [6151-31]Kim, E. J. / Chang, W. / Park, J. B. / Kim, S. J. / Kim, J. S. / Oh, H.-K. / SPIE-- the International Society for Optical Engineering et al. | 2006
-
Defect inspection of EUV mask blank using confocal microscopy: simulation and experiment [6151-49]Kim, S.-S. / Park, J. / Chalykh, R. / Kang, J. / Lee, S. / Woo, S.-G. / Cho, H.-K. / Moon, J.-T. / SPIE-- the International Society for Optical Engineering et al. | 2006
-
Building 1X NIL templates: challenges and requirements [6151-51]DiBiase, T. / Maltabes, J. / Reese, B. / Ahmadian, M. / SPIE-- the International Society for Optical Engineering et al. | 2006
-
Progress in LPP EUV source development at Osaka University [6151-63]Miyanaga, N. / Nishimura, H. / Fujioka, S. / Aota, T. / Uchida, S. / Yamaura, M. / Shimada, Y. / Hashimoto, K. / Nagai, K. / Norimatsu, T. et al. | 2006
-
Impact of multi-layer deposition method on defects for EUVL photomask blanks [6151-70]Cullins, J. / Motai, K. / Nisiyama, I. / SPIE-- the International Society for Optical Engineering et al. | 2006
-
Study of nano-imprint for sub-100nm patterning by using SU-8 3000NIL resist [6151-90]Sekiguchi, A. / Kono, Y. / Mori, S. / Honda, N. / Hirai, Y. / SPIE-- the International Society for Optical Engineering et al. | 2006
-
Proximity lithography membrane mask aeroelasticity [6151-116]Huston, D. / Burns, D. / Boerger, B. / Selzer, R. / SPIE-- the International Society for Optical Engineering et al. | 2006
-
High-power pulsed CO~2 laser for EUV lithography [6151-126]Ariga, T. / Hoshino, H. / Miura, T. / Endo, A. / SPIE-- the International Society for Optical Engineering et al. | 2006
-
Investigation of a novel discharge EUV source for microlithography [6151-127]Bauer, B. S. / Makhin, V. / Fuelling, S. / Lindemuth, I. R. / SPIE-- the International Society for Optical Engineering et al. | 2006
-
KrF laser driven xenon plasma light source of a small-field exposure tool [6151-133]Abe, T. / Moriya, M. / Someya, H. / Soumagne, G. / Suganuma, T. / Watanabe, T. / Sumitani, A. / Mizoguchi, H. / SPIE-- the International Society for Optical Engineering et al. | 2006
-
Analysis of the emission spectrum of Xe and Sn [6151-136]Sasaki, A. / Nishihara, K. / Sunahara, A. / Nishikawa, T. / Koike, F. / Kagawa, K. / Tanuma, H. / SPIE-- the International Society for Optical Engineering et al. | 2006
-
Energy spectra and charge states of debris emitted from laser-produced minimum mass tin plasmas [6151-135]Fujioka, S. / Nishimura, H. / Ando, T. / Ueda, N. / Namba, S. / Aota, T. / Murakami, M. / Nishihara, K. / Kang, Y.-G. / Sunahara, A. et al. | 2006
-
Design and optimization of collectors for extreme ultraviolet lithography [6151-29]Zocchi, F. E. / Buratti, E. / Rigato, V. / SPIE-- the International Society for Optical Engineering et al. | 2006
-
Simulation analysis of printability of scratch and bump defects in EUV lithography [6151-32]Sugawara, M. / Nishiyama, I. / SPIE-- the International Society for Optical Engineering et al. | 2006
-
Plasma-assisted cleaning by electrostatics (PACE) [6151-48]Lytle, W. M. / Neumann, M. J. / Ruzic, D. N. / SPIE-- the International Society for Optical Engineering et al. | 2006
-
Characterization of CCD sensor for actinic mask blank inspection [6151-67]Tezuka, Y. / Tanaka, T. / Terasawa, T. / Tomie, T. / SPIE-- the International Society for Optical Engineering et al. | 2006
-
Performance and quality analysis of Mo-Si multilayers deposited by ion beam sputtering and magnetron sputtering [6151-68]Hiruma, K. / Miyagaki, S. / Yamanashi, H. / Tanaka, Y. / Cullins, J. / Nishiyama, I. / SPIE-- the International Society for Optical Engineering et al. | 2006
-
A character projection low energy electron beam direct writing system for device of small production lot with a variety of designs [6151-35]Nakamura, F. / Watanabe, K. / Kinoshita, H. / Shinozaki, H. / Kojima, Y. / Morita, S. / Noguchi, K. / Yamaguchi, N. / Isokawa, H. / Kushitani, K. et al. | 2006
-
Ultimate fine-pitch resist patterning using the ASET-HINA [6151-95]Oizumi, H. / Tanaka, Y. / Kumasaka, F. / Nishiyama, I. / SPIE-- the International Society for Optical Engineering et al. | 2006
-
Micro/nano lithography realized by chemical printing [6151-60]Yao, P. / Schneider, G. J. / Murakowski, J. / Murakowski, M. / Prather, D. W. / SPIE-- the International Society for Optical Engineering et al. | 2006
-
EUV generation using a droplet of a suspension including tin as a target of a high-efficiency LPP source for high volume production [6151-62]Tomie, T. / Sarjono / Yashiro, H. / Moriwaki, H. / Matsushima, I. / SPIE-- the International Society for Optical Engineering et al. | 2006
-
Imprint technology: a potential low-cost solution for sub-45 nm device applications [6151-93]Le, N. V. / Dauksher, W. J. / Gehoski, K. A. / Nordquist, K. J. / Ainley, E. / Mangat, P. / SPIE-- the International Society for Optical Engineering et al. | 2006
-
Sub-100 nm trackwidth development by e-beam lithography for advanced magnetic recording heads [6151-114]Chang, J.-W. / Chen, C.-P. / SPIE-- the International Society for Optical Engineering et al. | 2006
-
Electron beam lithography for high aspect-ratio french patterning in thick resist: experimental and simulation results [6151-118]Zhou, J. / Xiao, S. / Scholz, W. / Yang, X. / SPIE-- the International Society for Optical Engineering et al. | 2006
-
Integrated simulation of line-edge roughness (LER) effects on sub-65 nm transistor operation: from lithography simulation, to LER metrology, to device operation [6151-123]Patsis, G. P. / Constantoudis, V. / Gogolides, E. / SPIE-- the International Society for Optical Engineering et al. | 2006
-
Optimization of EUV/SXR plasma radiation source characteristics [6151-138]MacFarlane, J. J. / Wang, P. / Golovkin, I. E. / Woodruff, P. R. / SPIE-- the International Society for Optical Engineering et al. | 2006
-
High-temperature LPP collector mirror [6151-152]Feigl, T. / Yulin, S. / Benoit, N. / Kaiser, N. / Bowering, N. R. / Ershov, A. I. / Khodykin, O. V. / Viatella, J. W. / Bruzzone, K. A. / Fomenkov, I. V. et al. | 2006
-
Debris mitigation for EUV sources using directional gas flows [6151-160]Soer, W. / Klunder, D. / van Herpen, M. / Bakker, L. / Banine, V. / SPIE-- the International Society for Optical Engineering et al. | 2006
-
Defect inspection for imprint lithography using a die to database electron beam verification system [6151-22]Myron, L. J. / Thompson, E. / McMackin, I. / Resnick, D. J. / Kitamura, T. / Hasebe, T. / Nakazawa, S. / Tokumoto, T. / Ainley, E. / Nordquist, K. et al. | 2006
-
Compact source and beam delivery system for EUV radiation [6151-66]Mann, K. / Barkusky, F. / Bayer, A. / Peth, C. / Tottger, H. / SPIE-- the International Society for Optical Engineering et al. | 2006
-
Achieving mask-based imaging with optical maskless lithography [6151-87]Stone, E. M. / Hintersteiner, J. D. / Cebuhar, W. A. / Albright, R. / Eib, N. K. / Latypov, A. / Baba-Ali, N. / Poultney, S. K. / Croffie, E. H. / SPIE-- the International Society for Optical Engineering et al. | 2006
-
Aerial-image modeling for the extreme ultraviolet microfield exposure tool at SEMATECH North [6151-98]Naulleau, P. / Dean, K. / Lowack, K. / SPIE-- the International Society for Optical Engineering et al. | 2006
-
Defect printability study using EUV lithography [6151-30]Holfeld, C. / Bubke, K. / Lehmann, F. / La Fontaine, B. / Pawloski, A. R. / Schwarzl, S. / Kamm, F.-M. / Graf, T. / Erdmann, A. / SPIE-- the International Society for Optical Engineering et al. | 2006
-
Thermal stress kinetics in the microresist-silicon system [6151-91]Tamulevicius, S. / Grigaliunas, V. / Jucius, D. / Ostasevicius, V. / Palevicius, A. / Janusas, G. / SPIE-- the International Society for Optical Engineering et al. | 2006
-
Process window study with various partial coherences on EUV MET (Micro Exposure Tool) optics [6151-100]Lee, S. H. / Brewer, C. / Chandhok, M. / SPIE-- the International Society for Optical Engineering et al. | 2006
-
Lithographic characterization of low-order aberrations in a 0.3-NA EUV microfield exposure tool [6151-104]Naulleau, P. / Cain, J. / Dean, K. / Goldberg, K. A. / SPIE-- the International Society for Optical Engineering et al. | 2006
-
Using phase-mask algorithms to direct self assembly [6151-125]Schellenberg, F. M. / Torres, J. A. R. / SPIE-- the International Society for Optical Engineering et al. | 2006
-
EUV source developments on laser-produced plasmas using cryogenic Xe and lithium new scheme target [6151-132]Miyamoto, S. / Amano, S. / Inoue, T. / Nica, P.-E. / Shimoura, A. / Kaku, K. / Sekioka, T. / Mochizuki, T. / SPIE-- the International Society for Optical Engineering et al. | 2006