Feasibility study of defects in 157-nm resist process (English)
- New search for: Wakamizu, Shinya
- New search for: Kiba, Yukio
- New search for: Kawaguchi, Etsurou
- New search for: Miyoshi, Seiro
- New search for: Furukawa, Takamitsu
- New search for: Itani, Toshiro
- New search for: Wakamizu, Shinya
- New search for: Kiba, Yukio
- New search for: Kawaguchi, Etsurou
- New search for: Miyoshi, Seiro
- New search for: Furukawa, Takamitsu
- New search for: Itani, Toshiro
In:
Proc. SPIE
;
5039
; 633
;
2003
-
ISBN:
-
ISSN:
- Conference paper / Electronic Resource
-
Title:Feasibility study of defects in 157-nm resist process
-
Contributors:Wakamizu, Shinya ( author ) / Kiba, Yukio ( author ) / Kawaguchi, Etsurou ( author ) / Miyoshi, Seiro ( author ) / Furukawa, Takamitsu ( author ) / Itani, Toshiro ( author )
-
Conference:Advances in Resist Technology and Processing XX ; 2003 ; Santa Clara,California,United States
-
Published in:Proc. SPIE ; 5039 ; 633
-
Publisher:
- New search for: SPIE
-
Publication date:2003-06-12
-
ISBN:
-
ISSN:
-
DOI:
-
Type of media:Conference paper
-
Type of material:Electronic Resource
-
Language:English
-
Source:
Table of contents conference proceedings
The tables of contents are generated automatically and are based on the data records of the individual contributions available in the index of the TIB portal. The display of the Tables of Contents may therefore be incomplete.
- 1
-
Extendibility of chemically amplified resists: another brick wall?Hinsberg, William D. / Houle, Frances A. / Sanchez, Martha I. / Hoffnagle, John A. / Wallraff, Gregory M. / Medeiros, David R. / Gallatin, Gregg M. / Cobb, Jonathan L. et al. | 2003
- 1
-
Extendibility of chemically amplified resists: another brick wall? (Invited Paper) [5039-01]Hinsberg, W. D. / Houle, F. A. / Sanchez, M. I. / Hoffnagle, J. A. / Wallraff, G. M. / Medeiros, D. R. / Gallatin, G. M. / Cobb, J. L. / SPIE et al. | 2003
- 15
-
Resist requirements in the era of resolution enhancement techniquesPetersen, John S. / Byers, Jeffrey D. et al. | 2003
- 15
-
Resist requirements in the era of resolution enhancement techniques (Invited Paper) [5039-02]Petersen, J. S. / Byers, J. D. / SPIE et al. | 2003
- 22
-
Evaluation of novel fluorinated resist matrices for 157-nm lithography [5039-03]Houlihan, F. M. / Romano, A. R. / Rentkiewicz, D. / Sakamuri, R. / Dammel, R. R. / Conley, W. / Rich, G. K. / Miller, D. / Rhodes, L. F. / McDaniels, J. M. et al. | 2003
- 22
-
Evaluation of novel fluorinated resist matrices for 157-nm lithographyHoulihan, Francis M. / Romano, Andrew R. / Rentkiewicz, David / Sakamuri, Raj / Dammel, Ralph R. / Conley, Will / Rich, Georgia K. / Miller, Daniel / Rhodes, Larry F. / McDaniels, Joseph M. et al. | 2003
- 33
-
Advances in TFE-based fluoropolymers for 157-nm lithography: a progress report [5039-04]Sharif, I. / DesMarteau, D. / Ford, L. / Shafer, G. J. / Thomas, B. / Conley, W. / Zimmerman, P. / Miller, D. / Lee, G. S. / Chambers, C. R. et al. | 2003
- 33
-
Advances in TFE-based fluoropolymers for 157-nm lithography: a progress reportSharif, Iqbal / DesMarteau, Darryl / Ford, Larry / Shafer, Gregory J. / Thomas, Brian / Conley, Will / Zimmerman, Paul / Miller, Daniel / Lee, Guen Su / Chambers, Charles R. et al. | 2003
- 43
-
Dry-etching resistance of fluoropolymers for 157-nm single-layer resistsKawaguchi, Yasuhide / Irisawa, Jun / Kodama, Shun-ichi / Okada, Shinji / Takebe, Yoko / Kaneko, Isamu / Yokokoji, Osamu / Ishikawa, Seiichi / Irie, Shigeo / Hagiwara, Takuya et al. | 2003
- 43
-
Dry-etching resistance of fluoropolymers for 157-nm single-layer resists [5039-05]Kawaguchi, Y. / Irisawa, J. / Kodama, S. / Okada, S. / Takebe, Y. / Kaneko, I. / Yokokoji, O. / Ishikawa, S. / Irie, S. / Hagiwara, T. et al. | 2003
- 53
-
Novel main-chain-fluorinated polymers for 157-nm photoresistsToriumi, Minoru / Koh, Meiten / Ishikawa, Takuji / Kodani, T. / Araki, Takayuki / Aoyama, Hirokazu / Yamashita, Tsuneo / Yamazaki, Tamio / Furukawa, Takamitsu / Itani, Toshiro et al. | 2003
- 53
-
Novel main-chain-fluorinated polymers for 157-nm photoresists [5039-06]Toriumi, M. / Koh, M. / Ishikawa, T. / Kodani, T. / Araki, T. / Aoyama, H. / Yamashita, T. / Yamazaki, T. / Furukawa, T. / Itani, T. et al. | 2003
- 61
-
Rational design in cyclic olefin resists for sub-100-nm lithographyLi, Wenjie / Varanasi, Pushkara R. / Lawson, Margaret C. / Kwong, Ranee W. / Chen, Kuang-Jung / Ito, Hiroshi / Truong, Hoa D. / Allen, Robert D. / Yamamoto, Masafumi / Kobayashi, Eiichi et al. | 2003
- 61
-
Rational design in cyclic olefin resists for sub-100-nm lithography [5039-07]Li, W. / Varanasi, P. R. / Lawson, M. C. / Kwong, R. W. / Chen, K.-J. / Ito, H. / Truong, H. D. / Allen, R. D. / Yamamoto, M. / Kobayashi, E. et al. | 2003
- 70
-
Hydrogen bonding and aqueous base dissolution behavior of hexafluoroisopropanol-bearing polymers [5039-08]Ito, H. / Hinsberg, W. D. / Rhodes, L. F. / Chang, C. / SPIE et al. | 2003
- 70
-
Hydrogen bonding and aqueous base dissolution behavior of hexafluoroisopropanol-bearing polymersIto, Hiroshi / Hinsberg, William D. / Rhodes, Larry F. / Chang, Chun et al. | 2003
- 80
-
Single layer fluropolymer resists for 157-nm lithographyCrawford, Michael K. / Farnham, William B. / Feiring, Andrew E. / Feldman, Jerald / French, Roger H. / Leffew, Kenneth W. / Petrov, Viacheslav A. / Qiu, Weiming / Schadt, Frank L. / Tran, Hoang V. et al. | 2003
- 80
-
Single-layer fluoropolymer resists for 157-nm lithography [5039-09]Crawford, M. K. / Farnham, W. B. / Feiring, A. E. / Feldman, J. / French, R. H. / Leffew, K. W. / Petrov, V. A. / Qiu, W. / Schadt, F. L. / Tran, H. V. et al. | 2003
- 93
-
Dissolution inhibitors for 157-nm photolithographyChambers, Charles R. / Kusumoto, Shiro / Lee, Guen Su / Vasudev, Alok / Walthal, Leonidas / Osborn, Brian P. / Zimmerman, Paul / Conley, Will / Willson, C. Grant et al. | 2003
- 93
-
Dissolution inhibitors for 157-nm photolithography [5039-10]Chambers, C. R. / Kusumoto, S. / Lee, G. S. / Vasudev, A. / Walthal, L. / Osborn, B. P. / Zimmerman, P. / Conley, W. E. / Willson, C. G. / SPIE et al. | 2003
- 103
-
Development and characterization of new 157-nm photoresists based on advanced fluorinated polymersYamazaki, Tamio / Furukawa, Takamitsu / Itani, Toshiro / Ishikawa, Takuji / Koh, Meiten / Araki, Takayuki / Toriumi, Minoru / Kodani, T. / Aoyama, Hirokazu / Yamashita, Tsuneo et al. | 2003
- 103
-
Development and characterization of new 157-nm photoresists based on advanced fluorinated polymers [5039-11]Yamazaki, T. / Furukawa, T. / Itani, T. / Ishikawa, T. / Koh, M. / Araki, T. / Toriumi, M. / Kodani, T. / Aoyama, H. / Yamashita, T. et al. | 2003
- 113
-
F2resist outgassing studied by in situ QCM techniqueShirai, Masamitsu / Shinozuka, Toyofumi / Tsunooka, Masahiro / Ishikawa, Seiichi / Itani, Toshiro et al. | 2003
- 113
-
F~2 resist outgassing studied by in situ QCM technique [5039-12]Shirai, M. / Shinozuka, T. / Tsunooka, M. / Ishikawa, S. / Itani, T. / SPIE et al. | 2003
- 121
-
Dependence of outgassing characters and total amount of outgassed species at 157-nm exposure on the structures of resist base polymer [5039-13]Matsui, Y. / Umeda, S. / Matsui, S. / Seki, S. / Tagawa, S. / Ishikawa, S. / Itani, T. / SPIE et al. | 2003
- 121
-
Dependence of outgassing characters and total amount of outgassed species at 157-nm exposure on the structures of resist base polymerMatsui, Yoshinori / Umeda, Satoshi / Matsui, Shiro / Seki, Shu / Tagawa, Seiichi / Ishikawa, Seiichi / Itani, Toshiro et al. | 2003
- 129
-
New generation of bottom anti-reflective coatings (BARCs): photodefinable BARCsGuerrero, Douglas J. / Trudgeon, Tonya et al. | 2003
- 129
-
New generation of bottom antireflective coatings (BARCs): photodefinable BARCs [5039-14]Guerrero, D. J. / Trudgeon, T. / SPIE et al. | 2003
- 136
-
Highly etch-selective spin-on bottom antireflective coating for use in 193-nm lithography and beyondPfeiffer, Dirk / Mahorowala, Arpan P. / Babich, Katherina / Medeiros, David R. / Petrillo, Karen E. / Angelopoulos, Marie / Huang, Wu-Song / Halle, Scott / Brodsky, Colin / Allen, Scott D. et al. | 2003
- 136
-
Highly etch-selective spin-on bottom antireflective coating for use in 193-nm lithography and beyond [5039-15]Pfeiffer, D. / Mahorowala, A. P. / Babich, K. / Medeiros, D. R. / Petrillo, K. E. / Angelopoulos, M. / Huang, W.-S. / Halle, S. / Brodsky, C. / Allen, S. D. et al. | 2003
- 144
-
Anthracene-organosiloxane spin-on antireflective coating for KrF lithographyKennedy, Joseph T. / Baldwin-Hendricks, Teri / Hebert, Mello / Suedmeyer, Arlene et al. | 2003
- 144
-
Anthracene-organosiloxane spin-on antireflective coating for KrF lithography [5039-17]Kennedy, J. T. / Baldwin-Hendricks, T. / Hebert, M. / Suedmeyer, A. / SPIE et al. | 2003
- 152
-
Hardmask technology for sub-100-nm lithographic imaging [5039-18]Babich, K. / Mahorowala, A. P. / Medeiros, D. R. / Pfeiffer, D. / Petrillo, K. E. / Angelopoulos, M. / Grill, A. / Patel, V. V. / Halle, S. / Brunner, T. A. et al. | 2003
- 152
-
Hardmask technology for sub-100 nm lithographic imagingBabich, Katherina / Mahorowala, Arpan P. / Medeiros, David R. / Pfeiffer, Dirk / Petrillo, Karen E. / Angelopoulos, Marie / Grill, Alfred / Patel, Vishnubhai / Halle, Scott / Brunner, Timothy A. et al. | 2003
- 166
-
New approach for pattern collapse problem by increasing contact area at sub-100-nm patterning [5039-19]Lee, S. K. / Jung, J. C. / Lee, M. S. / Kim, S. Y. / Hwang, Y. S. / Bok, C. / Moon, S. C. / Shin, K. S. / Kim, S.-J. / SPIE et al. | 2003
- 166
-
New approach for pattern collapse problem by increasing contact area at sub-100nm patterningLee, Sung-Koo / Jung, Jae Chang / Lee, Min Suk / Lee, Sung K. / Kim, Sam Young / Hwang, Young-Sun / Bok, Cheol K. / Moon, Seung-Chan / Shin, Ki S. / Kim, Sang-Jung et al. | 2003
- 175
-
Development of high-performance negative-tone resists for 193-nm lithography [5039-21]Hattori, T. / Yokoyama, Y. / Kimura, K. / Yamanaka, R. / Tanaka, T. / Fukuda, H. / SPIE et al. | 2003
- 175
-
Development of high-performance negative-tone resists for 193-nm lithographyHattori, Takashi / Yokoyama, Yoshiyuki / Kimura, Kaori / Yamanaka, Ryoko / Tanaka, Toshihiko / Fukuda, Hiroshi et al. | 2003
- 187
-
High-performance 193-nm photoresist materials based on a new class of polymers containing spaced ester finctionalitiesKhojasteh, Mahmoud / Chen, K. Rex / Kwong, Ranee W. / Lawson, Margaret C. / Varanasi, Pushkara R. / Patel, Kaushal S. / Kobayashi, Eiichi et al. | 2003
- 187
-
High-performance 193-nm photoresist materials based on a new class of polymers containing spaced ester functionalities [5039-22]Khojasteh, M. / Chen, K. R. / Kwong, R. W. / Lawson, M. C. / Varanasi, P. R. / Patel, K. S. / Kobayashi, E. / SPIE et al. | 2003
- 195
-
Advanced RELACS (resolution enhancement of lithography by assist of chemical shrink) material for 193-nm lithography [5039-93]Hong, S. / Takano, Y. / Kanda, T. / Kudo, T. / Padmanaban, M. / Tanaka, H. / Lee, S.-H. / Lee, J.-H. / Woo, S.-G. / SPIE et al. | 2003
- 195
-
Advanced RELACS (resolution enhancment of lithography by assist of chemical shrink) material for 193-nm lithographyHong, Sungeun / Takano, Yusuke / Kanda, Takashi / Kudo, Takanori / Padmanaban, Munirathna / Tanaka, Hatsuyuki / Lee, Si-Hyeung / Lee, Jung-Hyeon / Woo, Sang-Gyun et al. | 2003
- 207
-
Imaging and photochemistry studies of fluoropolymers for 193-nm lithography [5039-24]Conley, W. / Zimmerman, P. / Miller, D. / Lee, G. S. / SPIE et al. | 2003
- 207
-
Imaging and photochemistry studies of fluoropolymers for 193-nm lithographyConley, Will / Zimmerman, Paul / Miller, Daniel / Lee, Guen Su et al. | 2003
- 213
-
Impact of thin resist processes on post-etch LER [5039-23]Mahorowala, A. P. / Goldfarb, D. L. / Temple, K. / Petrillo, K. E. / Pfeiffer, D. / Babich, K. / Angelopoulos, M. / Gallatin, G. M. / Rasgon, S. / Sawin, H. H. et al. | 2003
- 213
-
Impact of thin resist processes on post-etch LERMahorowala, Arpan P. / Goldfarb, Dario L. / Temple, Karen / Petrillo, Karen E. / Pfeiffer, Dirk / Babich, Katherina / Angelopoulos, Marie / Gallatin, Gregg M. / Rasgon, Stacy / Sawin, Herbert H. et al. | 2003
- 225
-
Enhanced processing: sub-50 nm features with 0.8-μm DOF using a binary reticleVan Steenwinckel, David / Lammers, Jeroen et al. | 2003
- 225
-
Enhanced processing: sub-50-nm features with 0.8-mum DOF using a binary reticle [5039-25]Van Steenwinckel, D. / Lammers, J. H. / SPIE et al. | 2003
- 240
-
Line-edge roughness reduction for advanced metal gate etch with 193-nm lithography in a silicon decoupled plasma source etcher (DPSII)Chowdhury, Tito / Bamnolker, Hanna / Khen, Roni / Yang, Chan-Lon / Lee, Hean-Cheal / Du, Yan / Shen, Meihua / Choi, Jinhan / Deshmukh, Shashank et al. | 2003
- 240
-
Line-edge roughness reduction for advanced metal gate etch with 193-nm lithography in a silicon decoupled plasma source etcher (DPSII) [5039-26]Chowdhury, T. / Bamnolker, H. / Khen, R. / Yang, C.-L. / Lee, H.-C. / Du, Y. / Shen, M. / Choi, J. / Deshmukh, S. / SPIE et al. | 2003
- 249
-
Comparison of ArF bilayer resists for sub-90 nm L/S fabricationHong, Jin / Kim, Hyun-Woo / Lee, Sung-Ho / Woo, Sang-Gyun / Cho, Han-Ku / Han, Woo-Sung et al. | 2003
- 249
-
Comparison of ArF bilayer resists for sub-90-nm L/S fabrication [5039-27]Hong, J. / Kim, H.-W. / Lee, S.-H. / Woo, S.-G. / Cho, H.-K. / Han, W.-S. / SPIE et al. | 2003
- 257
-
Evaluation of process-based resolution enhancement techniques to extend 193-nm lithographySatyanarayana, Sripadma / Cohan, Chris L. et al. | 2003
- 257
-
Evaluation of process-based resolution enhancement techniques to extend 193-nm lithography [5039-29]Satyanarayana, S. / Cohan, C. L. / SPIE et al. | 2003
- 269
-
Intel benchmarking and process integration of 157-nm resists [5039-30]Powers, J. M. / Roberts, J. M. / Zimmerman, P. A. / Meagley, R. P. / Putna, E. S. / Shah, U. / SPIE et al. | 2003
- 269
-
Intel benchmarking and process integration of 157-nm resistsPowers, James M. / Roberts, Jeanette M. / Zimmerman, Paul A. / Meagley, Robert P. / Putna, E. Steve / Shah, Uday et al. | 2003
- 277
-
Contact printing to the 45-nm node using a binary mask and 248-nm lithographySuhm, Karen E. / Baker, Daniel C. / Hesse, Brian / Clark, Kevin / Coleman, Scott et al. | 2003
- 277
-
Contact printing to the 45-nm node using a binary mask and 248-nm lithography [5039-32]Suhm, K. E. / Baker, D. C. / Hesse, B. / Clark, K. / Coleman, S. / SPIE et al. | 2003
- 291
-
Will Darwin's law help us to improve our resist models? [5039-33]Tollkuhn, B. / Fuhner, T. / Matiut, D. / Erdmann, A. / Semmler, A. / Kuchler, B. / Kokai, G. / SPIE et al. | 2003
- 291
-
Will Darwin's law help us to improve our resist models?Tollkuhn, Bernd / Fuehner, Tim / Matiut, Daniela / Erdmann, Andreas / Semmler, Armin / Kuechler, Bernd / Kokai, Gabriella et al. | 2003
- 303
-
Monitoring of photo-resist poisoningSimon, Julia / Weisbuch, Francois / Quere, Yves / Louveau, Olivier / Bourlot, Christine et al. | 2003
- 303
-
Monitoring of photoresist poisoning [5039-34]Simon, J. / Weisbuch, F. / Quere, Y. / Louveau, O. / Bourlot, C. / SPIE et al. | 2003
- 310
-
Quantum efficiency of PAG decomposition in different polymer matrices at advanced lithographic wavelengths [5039-35]Fedynyshyn, T. H. / Sinta, R. F. / Mowers, W. A. / Cabral, A. / SPIE et al. | 2003
- 310
-
Quantum efficiency of PAG decomposition in different polymer matrices at advanced lithographic wavelengthsFedynyshyn, Theodore H. / Sinta, Roger F. / Mowers, William A. / Cabral, Alberto et al. | 2003
- 322
-
Measurement of photoacid generation kinetics in photoresist thin films via capacitance techniquesBerger, Cody M. / Henderson, Clifford L. et al. | 2003
- 322
-
Measurement of photoacid generation kinetics in photoresist thin films via capacitance techniques [5039-36]Berger, C. M. / Henderson, C. L. / SPIE et al. | 2003
- 334
-
Using the critical ionization model for resist development to estimate contrast curves and rougheningHoule, Frances A. / Hinsberg, William D. / Sanchez, Martha I. et al. | 2003
- 334
-
Using the critical ionization model for resist development to estimate contrast curves and roughening [5039-37]Houle, F. A. / Hinsberg, W. D. / Sanchez, M. I. / SPIE et al. | 2003
- 343
-
X-ray absorption spectroscopy to probe interfacial issues in photolithography [5039-38]Lenhart, J. L. / Fischer, D. A. / Sambasivan, S. / Lin, E. K. / Jones, R. L. / Soles, C. L. / Wu, W. / Goldfarb, D. L. / Angelopoulos, M. / SPIE et al. | 2003
- 343
-
X-ray absorption spectroscopy to probe interfacial issues in photolithographyLenhart, Joseph L. / Fischer, Daniel A. / Sambasivan, Sharadha / Lin, Eric K. / Jones, Ronald L. / Soles, Christopher L. / Wu, Wen-li / Goldfarb, Dario L. / Angelopoulos, Marie et al. | 2003
- 357
-
Glass transition temperature studies in thin photoresist films with an interferometric method [5039-39]Niakoula, D. / Raptis, I. / Bellas, V. / Argitis, P. / SPIE et al. | 2003
- 357
-
Glass transition temperature studies in thin photoresist films with an interferometric methodNiakoula, Dimitra / Raptis, Ioannis / Bellas, Vasilios / Argitis, Panagiotis et al. | 2003
- 366
-
Polymer dynamics and diffusive properties in ultrathin photoresist filmsSoles, Christopher L. / Jones, Ronald L. / Lenhart, Joseph L. / Prabhu, Vivek M. / Wu, Wen-li / Lin, Eric K. / Goldfarb, Dario L. / Angelopoulos, Marie et al. | 2003
- 366
-
Polymer dynamics and diffusive properties in ultrathin photoresist films [5039-40]Soles, C. L. / Jones, R. L. / Lenhart, J. L. / Prabhu, V. M. / Wu, W. / Lin, E. K. / Goldfarb, D. L. / Angelopoulos, M. / SPIE et al. | 2003
- 376
-
Controlling line-edge rougness to within reasonable limitsCobb, Jonathan L. / Rauf, Shahid / Thean, Aaron / Dakshina-Murthy, S. / Stephens, Tab / Parker, Colita / Peters, Richard D. / Rao, Vivek et al. | 2003
- 376
-
Controlling line-edge roughness to within reasonable limits [5039-41]Cobb, J. L. / Rauf, S. / Thean, A. / Dakshina-Murthy, S. / Stephens, T. / Parker, C. / Peters, R. D. / Rao, V. / SPIE et al. | 2003
- 384
-
Effects of processing parameters on line-width roughness [5039-42]Rice, B. J. / Cao, H. B. / Chandhok, M. / Meagley, R. P. / SPIE et al. | 2003
- 384
-
Effects of processing parameters on line-width roughnessRice, Bryan J. / Cao, Heidi B. / Chandhok, Manish / Meagley, Robert P. et al. | 2003
- 393
-
Ultrathin photoresists for 193-nm lithography [5039-43]Peters, R. D. / Amblard, G. R. / Lee, J. J. / Guenther, T. / SPIE et al. | 2003
- 393
-
Ultrathin photoresists for 193-nm lithographyPeters, Richard D. / Amblard, Gilles R. / Lee, Jen-Jiang / Guenther, Todd et al. | 2003
- 404
-
Polyelectrolyte effects in model photoresist developer solutions: roles of base concentration and added salts [5039-44]Prabhu, V. M. / Jones, R. L. / Lin, E. K. / Soles, C. L. / Wu, W. / Goldfarb, D. L. / Angelopoulos, M. / SPIE et al. | 2003
- 404
-
Polyelectrolyte effects in model photoresist developer solutions: roles of base concentration and added saltsPrabhu, Vivek M. / Jones, Ronald L. / Lin, Eric K. / Soles, Christopher L. / Wu, Wen-li / Goldfarb, Dario L. / Angelopoulos, Marie et al. | 2003
- 415
-
Diffusion-induced line-edge roughnessStewart, Michael D. / Schmid, Gerard M. / Goldfarb, Dario L. / Angelopoulos, Marie / Willson, C. Grant et al. | 2003
- 415
-
Diffusion-induced line-edge roughness [5039-45]Stewart, M. D. / Schmid, G. M. / Goldfarb, D. L. / Angelopoulos, M. / Willson, C. G. / SPIE et al. | 2003
- 423
-
Enhanced quantitative analysis of resist image contrast upon line- edge roughness (LER)Williamson, Mike V. / Neureuther, Andrew R. et al. | 2003
- 423
-
Enhanced quantitative analysis of resist image contrast upon line-edge roughness (LER) [5039-46]Williamson, M. V. / Neureuther, A. R. / SPIE et al. | 2003
- 433
-
Bilayer technology for ArF and F2lithography: the development of resists to minimize silicon outgassingBarclay, George G. / Kanagasabapathy, Subbareddy / Pohlers, Gerd / Mattia, Joseph / Xiong, Kao / Ablaza, Sheri L. / Cameron, James F. / Zampini, Tony / Zhang, Tao / Yamada, Shintaro et al. | 2003
- 433
-
Bilayer technology for ArF and F~2 lithography: the development of resists to minimize silicon outgassing [5039-47]Barclay, G. G. / Kanagasabapathy, S. / Pohlers, G. / Mattia, J. / Xiong, K. / Ablaza, S. L. / Cameron, J. / Zampini, A. / Zhang, T. / Yamada, S. et al. | 2003
- 442
-
Nanocomposite resist for low-voltage electron beam lithography (LVEBL) [5039-48]Ali, M. A. / Gonsalves, K. E. / Agrawal, A. / Jeyakumar, A. / Henderson, C. L. / SPIE et al. | 2003
- 442
-
Nanocomposite resist for low-voltage electron beam lithography (LVEBL)Ali, Mohammad Azam / Gonsalves, Kenneth E. / Agrawal, Ankur / Jeyakumar, Augustin / Henderson, Clifford L. et al. | 2003
- 453
-
Polyhedral oligomeric silsesquioxane (POSS) based resist materials for 157-nm lithographyTegou, Evangelia / Bellas, Vassilios / Gogolides, Evangelos / Argitis, Panagiotis / Dean, Kim R. / Eon, David / Cartry, Gilles / Cardinaud, Christophe et al. | 2003
- 453
-
Polyhedral oligomeric silsesquioxane (POSS) based resist materials for 157-nm lithography [5039-49]Tegou, E. / Bellas, V. / Gogolides, E. / Argitis, P. / Dean, K. R. / Eon, D. / Cartry, G. / Cardinaud, C. / SPIE et al. | 2003
- 462
-
157-nm bilayer resist: patterning and etching performance [5039-50]Miyoshi, S. / Furukawa, T. / Kawaguchi, E. / Itani, T. / SPIE et al. | 2003
- 462
-
157-nm bilayer resist: patterning and etching performanceMiyoshi, Seiro / Furukawa, Takamitsu / Kawaguchi, Etsurou / Itani, Toshiro et al. | 2003
- 472
-
Wavelength invariant Bi/In thermal resist as a Si anisotropic etch masking layer and direct-write photomask materialChapman, Glenn H. / Tu, Yuqiang / Peng, Jun et al. | 2003
- 472
-
Wavelength invariant Bi/In thermal resist as a Si anisotropic etch masking layer and direct-write photomask material [5039-51]Chapman, G. H. / Tu, Y. / Peng, J. / SPIE et al. | 2003
- 484
-
Intel's EUV resist developmentCao, Heidi B. / Roberts, Jeanette M. / Dalin, Janel / Chandhok, Manish / Meagley, Robert P. / Panning, Eric M. / Shell, Melissa K. / Rice, Bryan J. et al. | 2003
- 484
-
Intel's EUV resist development [5039-52]Cao, H. B. / Roberts, J. M. / Dalin, J. / Chandhok, M. / Meagley, R. P. / Panning, E. M. / Shell, M. K. / Rice, B. J. / SPIE et al. | 2003
- 492
-
Surface and line-edge roughness in acid-breakable resin-based positive resistSakamizu, Toshio / Shiraishi, Hiroshi et al. | 2003
- 492
-
Surface and line-edge roughness in acid-breakable resin-based positive resist [5039-53]Sakamizu, T. / Shiraishi, H. / SPIE et al. | 2003
- 502
-
Hybrid bilayer imaging approach using single component metal-organic precursors for high-resolution electron beam lithographyJeyakumar, Augustin / Henderson, Clifford L. / Roman, Paul J. / Suh, Seigi et al. | 2003
- 502
-
Hybrid bilayer imaging approach using single-component metal-organic precursors for high-resolution electron beam lithography [5039-54]Jeyakumar, A. / Henderson, C. L. / Roman, P. J. / Suh, S. / SPIE et al. | 2003
- 513
-
Nanopatterning of spin-coatable TiO~2 resist using an electron beam [5039-55]Saifullah, M. S. M. / Welland, M. E. / SPIE et al. | 2003
- 513
-
Nanopatterning of spin-coatable TiO2resist using an electron beamSaifullah, Mohammad S. / Welland, Mark E. et al. | 2003
- 524
-
Study of resist outgassing by F2laser irradiationItakura, Yasuo / Kawasa, Youichi / Sumitani, Akira / Ishikawa, Seiichi / Irie, Shigeo / Itani, Toshiro et al. | 2003
- 524
-
Study of resist outgassing by F~2 laser irradiation [5039-57]Itakura, Y. / Kawasa, Y. / Sumitani, A. / Ishikawa, S. / Irie, S. / Itani, T. / SPIE et al. | 2003
- 533
-
Fluorinated materials for 157-nm lithography [5039-58]Poss, A. J. / Nalewajek, D. / Nair, H. K. / SPIE et al. | 2003
- 533
-
Fluorinated materials for 157-nm lithographyPoss, Andrew / Nalewajek, David / Nair, Hari et al. | 2003
- 539
-
Fluoropolymer resists for 157-nm lithography [5039-60]Vohra, V. R. / Liu, X.-Q. / Douki, K. / Ober, C. K. / Conley, W. / Miller, D. / Zimmerman, P. / SPIE et al. | 2003
- 539
-
Fluoropolymer resists for 157 nm lithographyVohra, Vaishali R. / Liu, Xiang-Qian / Douki, Katsuji / Ober, Christopher K. / Conley, Will / Zimmerman, Paul / Miller, Daniel et al. | 2003
- 548
-
Polymers with well-controlled molecular weight for DUV/VUV lithography [5039-61]Lee, T.-Y. / Yu, C.-Y. / Hsu, M.-Y. / Chang, J.-F. / Cheng, B.-M. / Lu, H.-C. / Chen, H.-K. / Fung, H.-S. / SPIE et al. | 2003
- 548
-
Polymers with well-controlled molecular weight for DUV/VUV lithographyLee, Ting-Yu / Yu, Chao-Ying / Hsu, Meei-Yu / Chang, Jui-Fa / Cheng, Bing-Ming / Lu, Hsiao-Chi / Chen, Hong-Kai / Fung, Hok-Sum et al. | 2003
- 558
-
Evaluation of fluorinated dissolution inhibitors for 157-nm lithography [5039-62]Hamad, A. H. / Houlihan, F. M. / Seger, L. / Chang, C. / Ober, C. K. / SPIE et al. | 2003
- 558
-
Evaluation of fluorinated dissolution inhibitors for 157-nm lithographyHamad, Alyssandrea H. / Houlihan, Francis M. / Seger, Larry / Chang, Chun / Ober, Christopher K. et al. | 2003
- 569
-
Design and study of resist materials for 157-nm lithography [5039-63]Yamada, S. / Cho, S. / Zampini, A. / SPIE et al. | 2003
- 569
-
Design and study of resist materials for 157-nm lithographyYamada, Shintaro / Cho, Sungseo / Zampini, Anthony et al. | 2003
- 580
-
Performances of resists for 157-nm lithography based on monocyclic fluoropolymersIshikawa, Seiichi / Irie, Shigeo / Itani, Toshiro / Kawaguchi, Yasuhide / Yokokoji, Osamu / Kodama, Shun-ichi et al. | 2003
- 580
-
Performances of resists for 157-nm lithography based on monocyclic fluoropolymers [5039-64]Ishikawa, S. / Irie, S. / Itani, T. / Kawaguchi, Y. / Yokokoji, O. / Kodama, S. / SPIE et al. | 2003
- 589
-
Synthesis of novel fluorinated norbornene derivatives for 157-nm application [5039-65]Koh, M. / Ishikawa, T. / Toriumi, M. / Araki, T. / Yamashita, T. / Aoyama, H. / Yamazaki, T. / Furukawa, T. / Itani, T. / SPIE et al. | 2003
- 589
-
Synthesis of novel fluorinated norbornene derivatives for 157-nm applicationKoh, Meiten / Ishikawa, Takuji / Toriumi, Minoru / Araki, Takayuki / Yamashita, Tsuneo / Aoyama, Hirokazu / Yamazaki, Tamio / Furukawa, Takamitsu / Itani, Toshiro et al. | 2003
- 600
-
Dissolution behavior of bis-trifluoromethyl-carbinol-substituted polynorbornenes [5039-66]Hoskins, T. / Chung, W. J. / Ludovice, P. J. / Henderson, C. L. / Seger, L. D. / Rhodes, L. F. / Shick, R. A. / SPIE et al. | 2003
- 600
-
Dissolution behavior of bis-trifluoromethyl-carbinol-substituted polynorbornenesHoskins, Trevor / Chung, Won Jae / Ludovice, Peter J. / Henderson, Clifford L. / Seger, Larry / Rhodes, Larry F. / Shick, Robert A. et al. | 2003
- 612
-
A HFIPS-based polymer approach for 157-nm single-layer photoresist [5039-67]Kanna, S. / Mizutani, K. / Yasunami, S. / Kawabe, Y. / Tan, S. / Yagihara, M. / Kokubo, T. / Malik, S. / Dilocker, S. J. / SPIE et al. | 2003
- 612
-
A HFIPS-based polymer approach for 157-nm single layer photoresistKanna, Shinichi / Mizutani, Kazuyoshi / Yasunami, Shoichiro / Kawabe, Yasumasa / Tan, Shiro / Yagihara, Morio / Kokubo, Tadayoshi / Malik, Sanjay / Dilocker, Stephanie J. et al. | 2003
- 622
-
Negative photoresist for 157-nm microlithography: a progress report [5039-68]Conley, W. / Trinque, B. C. / Miller, D. / Caporale, S. / Osborn, B. P. / Kumamoto, S. / Pinnow, M. J. / Callahan, R. / Chambers, C. R. / Lee, G. S. et al. | 2003
- 622
-
Negative photoresist for 157-nm microlithography: a progress reportConley, Will / Trinque, Brian C. / Miller, Daniel / Caporale, Stefan / Osborn, Brian P. / Kumamoto, Shiro / Pinnow, Matthew J. / Callahan, Ryan / Chambers, Charles R. / Lee, Guen Su et al. | 2003
- 627
-
Novel materials for 157-nm bilayer resist designs [5039-70]Dilocker, S. J. / Malik, S. / De, B. B. / SPIE et al. | 2003
- 627
-
Novel materials for 157-nm bilayer resist designsDilocker, Stephanie J. / Malik, Sanjay / De, Binod B. et al. | 2003
- 633
-
Feasibility study of defects in 157-nm resist process [5039-71]Wakamizu, S. / Kiba, Y. / Kawaguchi, E. / Miyoshi, S. / Furukawa, T. / Itani, T. / SPIE et al. | 2003
- 633
-
Feasibility study of defects in 157-nm resist processWakamizu, Shinya / Kiba, Yukio / Kawaguchi, Etsurou / Miyoshi, Seiro / Furukawa, Takamitsu / Itani, Toshiro et al. | 2003
- 641
-
Baking study of fluorinated 157-nm resistHoulihan, Francis M. / Sakamuri, Raj / Romano, Andrew R. / Dammel, Ralph R. / Conley, Will / Rich, Georgia K. / Miller, Daniel / Rhodes, Larry F. / McDaniels, Joseph M. / Chang, Chun et al. | 2003
- 641
-
Baking study of fluorinated 157-nm resist [5039-72]Houlihan, F. M. / Sakamuri, R. / Romano, A. R. / Dammel, R. R. / Conley, W. / Rich, G. K. / Miller, D. / Rhodes, L. F. / McDaniels, J. M. / Chang, C. et al. | 2003
- 650
-
Alicyclic photoresists for CO~2-based microlithography at 157 nm [5039-146]Boggiano, M. K. / DeSimone, J. M. / SPIE et al. | 2003
- 650
-
Alicyclic photoresists for CO2-based microlithography at 157 nmBoggiano, Mary Kate / DeSimone, Joseph M. et al. | 2003
- 655
-
Synthesis of norbornene copolymers with diazo groups and their application as DUV resists [5039-73]Kim, J.-B. / Kim, K.-S. / SPIE et al. | 2003
- 655
-
Synthesis of norbornene copolymers with diazo groups and their application as DUV resistsKim, Jin-Baek / Kim, Kyoung Seon et al. | 2003
- 665
-
Mechanistic understanding of post-etch roughness in 193-nm photoresistsBae, Young C. / Barclay, George G. / Bolton, Patrick J. / Kavanagh, Robert J. / Bu, Lujia / Kobayashi, Tatum / Adams, Tim / Pugliano, Nick / Thackeray, James W. et al. | 2003
- 665
-
Mechanistic understanding of post-etch roughness in 193-nm photoresists [5039-74]Bae, Y. C. / Barclay, G. G. / Bolton, P. J. / Kavanagh, R. J. / Bu, L. / Kobayashi, T. / Adams, T. / Pugliano, N. / Thackeray, J. W. / SPIE et al. | 2003
- 672
-
Newly developed alternating-copolymer-based silicon containing resists for sub-100-nm pattern fabricationHatakeyama, Jun / Takeda, Takanobu / Kinsho, Takeshi / Kawai, Yoshio / Ishihara, Toshinobu et al. | 2003
- 672
-
Newly developed alternating-copolymer-based silicon-containing resists for sub-100-nm pattern fabrication [5039-75]Hatakeyama, J. / Takeda, T. / Kinsho, T. / Kawai, Y. / Ishihara, T. / SPIE et al. | 2003
- 682
-
Investigation of cyclopolymerization for ArF positive photoresist [5039-76]Lee, Y. / Hashimoto, K. / Fujishima, H. / Hanawa, R. / Uetani, Y. / SPIE et al. | 2003
- 682
-
Investigation of cyclopolymerization for ArF positive photoresistLee, Youngjoon / Hashimoto, Kazuhiko / Fujishima, Hiroaki / Hanawa, Ryotaro / Uetani, Yasunori et al. | 2003
- 689
-
Nonshrinkable photoresists for ArF lithographyKim, Jin-Baek / Oh, Tae Hwan / Choi, Jae-Hak / Lee, Jae-Jun et al. | 2003
- 689
-
Nonshrinkable photoresists for ArF lithography [5039-77]Kim, J.-B. / Oh, T.-H. / Choi, J.-H. / Lee, J.-J. / SPIE et al. | 2003
- 698
-
Negative tone 193-nm photoresistsPugliano, Nick / Bolton, Patrick J. / Barbieri, Tony / King, Matt / Reilly, Michael T. / Lawrence, William / Kang, Doris / Barclay, George G. et al. | 2003
- 698
-
Negative tone 193-nm photoresists [5039-78]Pugliano, N. / Bolton, P. J. / Barbieri, T. / King, M. / Reilly, M. T. / Lawrence, W. / Kang, D. / Barclay, G. G. / SPIE et al. | 2003
- 705
-
Methods to achieve sub-100-nm contact hole lithography [5039-79]Lindsay, T. K. / Kavanagh, R. J. / Pohlers, G. / Kanno, T. / Bae, Y. C. / Barclay, G. G. / Kanagasabapathy, S. / Mattia, J. / SPIE et al. | 2003
- 705
-
Methods to achieve sub-100-nm contact hole lithographyLindsay, Tracy K. / Kavanagh, Robert J. / Pohlers, Gerd / Kanno, Takafumi / Bae, Young C. / Barclay, George G. / Kanagasabapathy, Subbareddy / Mattia, Joseph et al. | 2003
- 713
-
Spatial frequency analysis of line-edge roughness in nine chemically related photoresists [5039-80]Lawrence, W. G. / SPIE et al. | 2003
- 713
-
Spatial frequency analysis of line-edge roughness in nine chemically related photoresistsLawrence, William et al. | 2003
- 725
-
Ring opened maleic anhydride and norbornene copolymers (ROMA) have a good character in resist flow process for 193-nm resist technologyJoo, Hyun-Sang / Seo, Dong Chul / Kim, Chang Min / Lim, Young Taek / Cho, Seong Duk / Lee, Jong B. / Jeon, Hyun P. / Park, Joo H. / Jung, Jae C. / Shin, Ki S. et al. | 2003
- 725
-
Ring-opened maleic anhydride and norbornene copolymers (ROMA) have a good character in resist flow process for 193-nm resist technology [5039-81]Joo, H. S. / Seo, D. C. / Kim, C. M. / Lim, Y. T. / Cho, S. D. / Lee, J. B. / Jeon, H. P. / Park, J. H. / Jung, J. C. / Shin, K. S. et al. | 2003
- 733
-
Overcoat materials for acrylate resists to enhance their resolution [5039-82]Nozaki, K. / Igarashi, M. / Yano, E. / Yamamoto, H. / Takechi, S. / Hanyu, I. / SPIE et al. | 2003
- 733
-
Overcoat materials for acrylate resists to enhance their resolutionNozaki, Koji / Igarashi, Miwa / Yano, Ei / Yamamoto, Hajime / Takechi, Satoshi / Hanyu, Isamu et al. | 2003
- 743
-
Performance of imide and methide onium PAGs in 193-nm resist formulations [5039-83]Padmanaban, M. / Dammel, R. R. / Lee, S. / Kim, W.-K. / Kudo, T. / McKenzie, D. S. / Rahman, D. / SPIE et al. | 2003
- 743
-
Performance of imide and methide onium PAGs in 193-nm resist formulationsPadmanaban, Munirathna / Dammel, Ralph R. / Lee, SangHo / Kim, Woo-Kyu / Kudo, Takanori / McKenzie, Douglas S. / Rahman, Dalil et al. | 2003
- 752
-
Investigation of the polymer systems for ArF resistsYamana, Mitsuharu / Hirano, Masumi / Nagahara, Seiji / Kasama, Kunihiko / Hada, Hideo / Miyairi, Miwa / Kohno, Shinichi / Iwai, Takeshi et al. | 2003
- 752
-
Investigation of the polymer systems for ArF resists [5039-85]Yamana, M. / Hirano, M. / Nagahara, S. / Kasama, K. / Hada, H. / Miyairi, M. / Kohno, S. / Iwai, T. / SPIE et al. | 2003
- 761
-
Effects of alkali treatment on ArF process [5039-86]Kim, J.-S. / Jung, J. C. / Kong, K.-K. / Kim, H.-R. / Kim, H. / SPIE et al. | 2003
- 761
-
Effects of alkali treatment on ArF resist processKim, Jin-Soo / Jung, Jae-Chang / Kong, Keun-Kyu / Kim, Hyoung-Ryeun / Kim, Hyeong-Soo et al. | 2003
- 770
-
Improvement of resist process margin with short develop time processTamada, Osamu / Sanada, Masakazu et al. | 2003
- 770
-
Improvement of resist process margin with short develop time process [5039-87]Tamada, O. / Sanada, M. / SPIE et al. | 2003
- 781
-
Polarity effects of polymer on the 193-nm resist performanceChoi, Yong-Jun / Kim, Jung-woo / Kim, Jong-Yong / Yim, Yoon-Gil / Kim, Jae-Hyun / Jung, Jaechang / Min, Myoung-Ja / Bok, Cheolkyu / Shin, Kisoo et al. | 2003
- 781
-
Polarity effects of polymer on the 193-nm resist performance [5039-88]Choi, Y.-J. / Kim, J.-W. / Kim, J.-Y. / Yim, Y.-G. / Kim, J. / Jung, J.-C. / Min, M.-J. / Bok, C. K. / Shin, K.-S. / SPIE et al. | 2003
- 789
-
Below 70-nm contact hole pattern with RELACS process on ArF resist [5039-89]Terai, M. / Toyoshima, T. / Ishibashi, T. / Tarutani, S. / Takahashi, K. / Takano, Y. / Tanaka, H. / SPIE et al. | 2003
- 789
-
Below 70-nm contact hole pattern with RELACS process on ArF resistTerai, Mamoru / Toyoshima, Toshiyuki / Ishibashi, Takeo / Tarutani, Shinji / Takahashi, Kiyohisa / Takano, Yusuke / Tanaka, Hatsuyuki et al. | 2003
- 798
-
PEB sensitivity studies of ArF resist [5039-90]Lee, S. / Kim, W.-K. / Rahman, D. M. / Kudo, T. / Timko, A. / Anyadiegwu, C. / McKenzie, D. S. / Kanda, T. / Dammel, R. R. / Padmanaban, M. et al. | 2003
- 798
-
PEB sensitivity studies of ArF resistLee, SangHo / Kim, Woo-Kyu / Rahman, Dalil / Kudo, Takanori / Timko, Allen / Anyadiegwu, Clement / McKenzie, Douglas S. / Kanda, Takashi / Dammel, Ralph R. / Padmanaban, Munirathna et al. | 2003
- 807
-
Resist reflow for 193-nm low-K1 lithography contacts [5039-91]Montgomery, P. K. / Lucas, K. / Strozewski, K. J. / Zavyalova, L. / Grozev, G. / Reybrouck, M. / Tzviatkov, P. / Maenhoudt, M. / SPIE et al. | 2003
- 807
-
Resist reflow for 193-nm low-K1 lithography contactsMontgomery, Patrick K. / Lucas, Kevin / Strozewski, Kirk J. / Zavyalova, Lena / Grozev, Grozdan / Reybrouck, Mario / Tzviatkov, Plamen / Maenhoudt, Mireille et al. | 2003
- 817
-
Most feasible curing process for ArF resists in device integration aspect [5039-94]Kim, H.-W. / Kang, Y. / Lee, J.-H. / Chae, Y.-S. / Woo, S.-G. / Cho, H.-K. / Han, W.-S. / SPIE et al. | 2003
- 817
-
Most feasible curing process for ArF resists in device integration aspectKim, Hyun-Woo / Kang, Yool / Lee, Ju-Hyung / Chae, Yun-Sook / Woo, Sang-Gyun / Cho, Han-Ku / Han, Woo-Sung et al. | 2003
- 827
-
What an antishrinkage coating method can surmount among stumbling block of ArF resistsKim, Hyung-Do / Lee, Si-Hyeung / Choi, Sang-Jun / Lee, Jung-Hyeon / Cho, Han-Ku / Han, Woo-Sung / Moon, Joo-Tae et al. | 2003
- 827
-
What an antishrinkage coating method can surmount among stumbling blocks of ArF resists [5039-95]Kim, H.-D. / Lee, S.-H. / Choi, S.-J. / Lee, J.-H. / Cho, H.-K. / Han, W.-S. / Moon, J.-T. / SPIE et al. | 2003
- 838
-
New trilayer resist process using a phenol-capped siloxane-based middle layer for ArF resist process [5039-96]Otoguro, A. / Takechi, S. / Deguchi, T. / Hanyu, I. / SPIE et al. | 2003
- 838
-
New trilayer resist process using a phenol-capped siloxane-based middle-layer for ArF resist processOtoguro, Akihiko / Takechi, Satoshi / Deguchi, Takatoshi / Hanyu, Isamu et al. | 2003
- 847
-
Investigation of 193-nm resist and plasma interactions during an oxide etching processMortini, Benedicte P. / Spinelli, Philippe / Leverd, Francois / Dejonghe, Veronique / Braspenning, Richard et al. | 2003
- 847
-
Investigation of 193-nm resist and plasma interactions during an oxide etching process [5039-97]Mortini, B. P. / Spinelli, P. / Leverd, F. / Dejonghe, V. / Braspenning, R. / SPIE et al. | 2003
- 858
-
Spin-on bottom antireflective coating defect reduction by proper filter selection and process optimization [5039-98]Brakensiek, N. L. / Kidd, B. / Mesawich, M. / Stevens, D. / Gotlinsky, B. / SPIE et al. | 2003
- 858
-
Spin-on bottom antireflective coating defect reduction by proper filter selection and process optimizationBrakensiek, Nickolas L. / Kidd, Brian / Mesawich, Michael / Stevens, Don / Gotlinsky, Barry et al. | 2003
- 866
-
New material for 193-nm bottom antireflective coatings [5039-99]Weimer, M. / Krishnamurthy, V. / Fowler, S. / Nesbit, C. / Claypool, J. B. / SPIE et al. | 2003
- 866
-
New materials for 193-nm bottom antireflective coatingsWeimer, Marc / Krishnamurthy, Vandana / Fowler, Shelly / Nesbit, Cheryl / Claypool, James B. et al. | 2003
- 872
-
New materials for 193-nm BARC applicationNeef, Charles J. / Fowler, Michelle R. / Windsor, Michelle / Nesbit, Cheryl et al. | 2003
- 872
-
New materials for 193-nm BARC application [5039-100]Neef, C. J. / Fowler, M. R. / Windsor, M. / Nesbit, C. / SPIE et al. | 2003
- 878
-
Developer soluble organic BARCs for KrF lithography [5039-101]Cox, C. / Dippel, D. F. / Ghelli, C. L. / Valerio, P. / Simmons, W. J. / Guerrero, A. / SPIE et al. | 2003
- 878
-
Developer soluble organic BARCs for KrF lithographyCox, Chris / Dippel, Darron F. / Ghelli, Craig L. / Valerio, Pasquale / Simmons, William J. / Guerrero, Alice et al. | 2003
- 883
-
Novel spin-bowl-compatible, wet developable bottom anti-reflective coating for i-line applicationsKrishnamurthy, Vandana N. / Neef, Charles J. / Turner, Stephen R. et al. | 2003
- 883
-
Novel spin-bowl-compatible wet developable bottom antireflective coating for i-line applications [5039-102]Krishnamurthy, V. N. / Neef, C. J. / Turner, S. R. / SPIE et al. | 2003
- 891
-
Void elimination research in bottom antireflective coatings for dual damascene photolithography [5039-103]Nowak, K. A. / SPIE et al. | 2003
- 891
-
Void elimination research in bottom antireflective coatings for dual damascene photolithographyNowak, Kelly A. et al. | 2003
- 902
-
Relation between optical property of pattern image and adhesion of resist patternSakai, Junjiro / Nakae, Akihiro / Yamaguchi, Atsumi / Tsujita, Kouichirou et al. | 2003
- 902
-
Relation between optical property of pattern image and adhesion of resist pattern [5039-104]Sakai, J. / Nakae, A. / Yamaguchi, A. / Tsujita, K. / SPIE et al. | 2003
- 910
-
Thin film type 248-nm bottom antireflective coatingsEnomoto, Tomoyuki / Nakayama, Keisuke / Mizusawa, Kenichi / Nakajima, Yasuyuki / Yoon, Sangwoong / Kim, Yong-Hoon / Kim, Young-Ho / Chung, Hoesik / Chon, Sang Mun et al. | 2003
- 910
-
Thin film type 248-nm bottom antireflective coatings [5039-105]Enomoto, T. / Nakayama, K. / Mizusawa, K. / Nakajima, Y. / Yoon, S. / Kim, Y.-H. / Chung, H.-S. / Chon, S. M. / SPIE et al. | 2003
- 920
-
New 193-nm bottom antireflective coatings [5039-106]Nakayama, K. / Kishioka, T. / Arase, S. / Sakamoto, R. / Hiroi, Y. / Nakajima, Y. / SPIE et al. | 2003
- 920
-
New 193-nm bottom anti-reflective coatingsNakayama, Keisuke / Kishioka, Takahiro / Arase, Shinya / Sakamoto, Rikimaru / Hiroi, Yoshiomi / Nakajima, Yasuyuki et al. | 2003
- 929
-
Organosiloxane-based bottom antireflective coatings for 193-nm lithographyKennedy, Joseph T. / Baldwin-Hendricks, Teresa / Stuck, Jason / Suedmeyer, Arlene / Thanawala, Shilpa / Do, Kim / Iwamoto, Nancy E. et al. | 2003
- 929
-
Organosiloxane-based bottom antireflective coatings for 193-nm lithography [5039-107]Kennedy, J. T. / Baldwin-Hendricks, T. / Stuck, J. / Suedmeyer, A. / Thanawala, S. / Do, K. / Iwamoto, N. E. / SPIE et al. | 2003
- 940
-
Adaptability and validity of thin organic bottom anti-reflective coating (BARC) to sub-90-nm patterning in ArF lithographyKim, Si-Hyun / Lee, Si-Hyeung / Yeo, Gi-Sung / Lee, Jeong Hyeong / Cho, Han-Ku / Han, Woo-Sung / Moon, Joo-Tae et al. | 2003
- 940
-
Adaptability and validity of thin organic bottom antireflective coating (BARC) to sub-90-nm patterning in ArF lithography [5039-108]Kim, S.-H. / Lee, S.-H. / Yeo, G.-S. / Lee, J.-H. / Cho, H.-K. / Han, W.-S. / Moon, J.-T. / SPIE et al. | 2003
- 948
-
193-nm multilayer imaging systems [5039-109]Meador, J. D. / Holmes, D. / DiMenna, W. L. / Nagatkina, M. I. / Rich, M. D. / Flaim, T. D. / Bennett, R. / Kobayashi, I. / SPIE et al. | 2003
- 948
-
193-nm multilayer imaging systemsMeador, James D. / Holmes, Doug / DiMenna, William / Nagatkina, Mariya I. / Rich, Michael D. / Flaim, Tony D. / Bennett, Randy / Kobayashi, Ichiro et al. | 2003
- 960
-
Heat-resistant photoresists based on new imaging technique: reaction development patterning (RDP)Fukushima, Takafumi / Oyama, Toshiyuki / Tomoi, Masao et al. | 2003
- 960
-
Heat-resistant photoresists based on new imaging technique: reaction development patterning (RDP) [5039-110]Fukushima, T. / Oyama, T. / Tomoi, M. / SPIE et al. | 2003
- 968
-
Post soft-bake delay effect on CD variation in DUV resist [5039-111]Tsai, S.-F. / Chen, C.-Y. / Chan, K.-T. / Gao, H.-Y. / Ku, C.-Y. / SPIE et al. | 2003
- 968
-
Post soft-bake delay effect on CD variation in DUV resistTsai, Shu-Fen / Chen, Chih-You / Chan, King-Terk / Gao, Hann-Yii / Ku, Chin-Yu et al. | 2003
- 976
-
Simulation of complex resist pattern collapse with mechanical modelingLee, Hyung-Joo / Park, Jun-Taek / Kwon, Yeong-Keun / Oh, Hye-Keun et al. | 2003
- 976
-
Simulation of complex resist pattern collapse with mechanical modeling [5039-112]Lee, H.-J. / Park, J.-T. / Kwon, Y.-K. / Oh, H.-K. / SPIE et al. | 2003
- 984
-
Equilibrium sorption and rate of diffusion of water into photoresist thin films [5039-113]Berger, C. M. / Henderson, C. L. / SPIE et al. | 2003
- 984
-
Equilibrium sorption and rate of diffusion of water into photoresist thin filmsBerger, Cody M. / Henderson, Clifford L. et al. | 2003
- 996
-
Modifications to thermophysical behavior in ultrathin polymer films [5039-114]D Amour, J. N. / Frank, C. W. / Okoroanyanwu, U. / SPIE et al. | 2003
- 996
-
Modifications to thermophysical Behavior in ultrathin polymer filmsD'Amour, James N. / Frank, Curtis W. / Okoroanyanwu, Uzodinma et al. | 2003
- 1008
-
Influence of film thickness, molecular weight, and substrate on the physical properties of photoresist polymer thin films [5039-115]Singh, L. / Ludovice, P. J. / Henderson, C. L. / SPIE et al. | 2003
- 1008
-
Influence of film thickness, molecular weight, and substrate on the physical properties of photoresist polymer thin filmsSingh, Lovejeet / Ludovice, Peter J. / Henderson, Clifford L. et al. | 2003
- 1019
-
Investigation of surface inhibition and its effects on the lithographic performance of polysulfone-novolac electron-beam resistsAgrawal, Ankur / Henderson, Clifford L. et al. | 2003
- 1019
-
Investigation of surface inhibition and its effects on the lithographic performance of polysulfone-novolac electron beam resists [5039-116]Agrawal, A. / Henderson, C. L. / SPIE et al. | 2003
- 1031
-
Deprotection volume characteristics and line-edge morphology in chemically amplified resists [5039-117]Jones, R. L. / Hu, T. / Prabhu, V. M. / Soles, C. L. / Lin, E. K. / Wu, W. / Goldfarb, D. L. / Angelopoulos, M. / Trinque, B. C. / Willson, C. G. et al. | 2003
- 1031
-
Deprotection volume characteristics and line-edge morphology in chemcially amplified resistsJones, Ronald L. / Hu, Tengjiao / Prabhu, Vivek M. / Soles, Christopher L. / Lin, Eric K. / Wu, Wen-li / Goldfarb, Dario L. / Angelopoulos, Marie / Trinque, Brian / Willson, C. Grant et al. | 2003
- 1041
-
Improving chemically amplified resist modeling for 2D layout patternsYuan, Lei / Neureuther, Andrew R. et al. | 2003
- 1041
-
Improving chemically amplified resist modeling for 2D layout patterns [5039-118]Yuan, L. / Neureuther, A. R. / SPIE et al. | 2003
- 1052
-
Investigation on the role of residual casting solvent in photolithographic behavior in 193-nm resistsKang, Jae-Hyun / Oh, Seung-Keun / Son, Eun-Kyung / Kim, Jung-Woo / Kim, Yun-Hyi / Choi, Yong-Jun / Kim, Deog-Bae / Kim, Jaehyun et al. | 2003
- 1052
-
Investigation on the role of residual casting solvent in photolithographic behavior in 193-nm resists [5039-119]Kang, J.-H. / Oh, S.-K. / Son, E.-K. / Kim, J.-W. / Kim, Y.-H. / Choi, Y.-J. / Kim, D.-B. / Kim, J. / SPIE et al. | 2003
- 1063
-
Fundamental study of photoresist dissolution with real time spectroscopic ellipsometry and interferometryBurns, Sean D. / Schmid, Gerard M. / Trinque, Brian C. / Willson, James / Wunderlich, Jennifer / Tsiartas, Pavlos C. / Taylor, James C. / Burns, Ryan L. / Wilson, C. G. et al. | 2003
- 1063
-
Fundamental study of photoresist dissolution with real-time spectroscopic ellipsometry and interferometry [5039-121]Burns, S. D. / Schmid, G. M. / Trinque, B. C. / Willson, J. / Wunderlich, J. / Tsiartas, P. C. / Taylor, J. C. / Burns, R. L. / Willson, C. G. / SPIE et al. | 2003
- 1076
-
Does line-edge roughness matter?: FEOL and BEOL perspectivesLin, Qinghuang / Black, Charles T. / Detavernier, Christophe / Gignac, Lynne / Guarini, Kathryn / Herbst, Brian / Kim, Hyungjun / Oldiges, Philip / Petrillo, Karen E. / Sanchez, Martha I. et al. | 2003
- 1076
-
Does line-edge roughness matter?: FEOL and BEOL perspectives [5039-122]Lin, Q. / Black, C. T. / Detavernier, C. / Gignac, L. / Guarini, K. / Herbst, B. / Kim, H. / Oldiges, P. / Petrillo, K. E. / Sanchez, M. I. et al. | 2003
- 1086
-
Effects of quencher ability on profile in chemically amplified resist system [5039-144]Kim, D. / Kim, H.-J. / Cho, S.-H. / Lee, D.-H. / Im, K.-H. / Yoo, M.-J. / Lee, S.-H. / Kim, J. / Kim, J.-S. / Kim, H.-S. et al. | 2003
- 1086
-
Effects of quencher ability on profile in chemically amplified resist systemKim, Deogbae / Kim, Hyun-Jin / Cho, Sook-Hee / Lee, Dong-Hwal / Im, Kwang-Hyi / Yoo, Min-Ja / Lee, Sang-Hyang / Kim, Jaehyun / Kim, Jin-Soo / Kim, Hyeong-Soo et al. | 2003
- 1098
-
PEB model with cross-diffusion [5039-123]Granik, Y. / SPIE et al. | 2003
- 1098
-
PEB model with cross-diffusionGranik, Yuri et al. | 2003
- 1105
-
Extraction of exposure parameters by using neural networks [5039-125]Jeon, K.-A. / Kim, H.-H. / Yoo, J.-Y. / Park, J.-T. / Oh, H.-K. / SPIE et al. | 2003
- 1105
-
Extraction of exposure parameters by using neural networksJeon, Kyoung-Ah / Kim, Hyoung-Hee / Yoo, Ji-Yong / Park, Jun-Taek / Oh, Hye-Keun et al. | 2003
- 1115
-
A simple optical system parameter optimization method by comparing the critical dimension [5039-126]Ha, M.-A. / Sohn, D.-S. / Jun, K.-A. / Yoo, J.-Y. / Oh, H.-K. / Kim, J. / Park, I. / SPIE et al. | 2003
- 1115
-
Simple optical system parameter optimization method by comparing the critical dimensionHa, Mi-Ae / Sohn, Dong-Soo / Jun, Kyoung-Ah / Yoo, Ji-Yong / Oh, Hye-Keun / Kim, Jaesoon / Park, In-Ho et al. | 2003
- 1124
-
Practical extracting method of PEB parameters by using rotating compensator spectroscopic ellipsometer [5039-127]Kim, H.-H. / Kwon, Y.-K. / Park, S.-W. / Bang, K.-Y. / An, I. / Lee, K.-S. / Oh, H.-K. / SPIE et al. | 2003
- 1124
-
Practical extracting method of PEB parameters by using rotating compensator spectroscopic ellipsometerKim, Hyoung-Hee / Kwon, Young-Keun / Park, Seung-Wook / Bang, Kyung-Yoon / An, Ilsin / Lee, Kun-Sang / Oh, Hye-Keun et al. | 2003
- 1132
-
New models for the simulation of post-exposure bake of chemically amplifed resists [5039-128]Matiut, D. / Erdmann, A. / Tollkuehn, B. / Semmler, A. / SPIE et al. | 2003
- 1132
-
New models for the simulation of post-exposure bake of chemically amplifed resistsMatiut, Daniela / Erdmann, Andreas / Tollkuehn, Bernd / Semmler, Armin et al. | 2003
- 1143
-
Modeling soft-bake effects in chemically amplified resistsByers, Jeff D. / Smith, Mark D. / Mack, Chris A. / Biafore, John J. et al. | 2003
- 1143
-
Modeling soft-bake effects in chemically amplified resists [5039-129]Byers, J. D. / Smith, M. D. / Mack, C. A. / Biafore, J. J. / SPIE et al. | 2003
- 1155
-
A novel photoacid generator for chemically amplified resists with ArF exposure [5039-131]Asakura, T. / Yamato, H. / Matsumoto, A. / Murer, P. / Ohwa, M. / SPIE et al. | 2003
- 1155
-
A novel photoacid generator for chemically amplified photoresist with ArF exposureAsakura, Toshikage / Yamato, Hitoshi / Matsumoto, Akira / Murer, Peter / Ohwa, Masaki et al. | 2003
- 1164
-
Synthesis and evaluation of novel organoelement resists for EUV lithography [5039-134]Dai, J. / Ober, C. K. / Kim, S. O. / Nealey, P. F. / Golovkina, V. / Shin, J. / Wang, L. / Cerrina, F. / SPIE et al. | 2003
- 1164
-
Synthesis and evaluation of novel organoelement resists for EUV lithographyDai, Junyan / Ober, Christopher K. / Kim, Sang-Ouk / Nealey, Paul F. / Golovkina, Victoria / Shin, Jangho / Wang, Lin / Cerrina, Franco et al. | 2003
- 1173
-
High-sensitivity nanocomposite resist materials for x-ray and EUV lithography [5039-136]Ali, M. A. / Gonsalves, K. E. / Batina, N. / Golovkina, V. / Cerrina, F. / SPIE et al. | 2003
- 1173
-
High-sensitivity nanocomposite resist materials for x-ray and EUV lithographyAli, Mohammad Azam / Gonsalves, Kenneth E. / Batina, N. / Golovkina, Victoria / Cerrina, Franco et al. | 2003
- 1181
-
Negative resist image by dry etching as a novel top surface imaging process for ion-beam lithography [5039-138]Arshak, K. I. / Mihov, M. / Arshak, A. / McDonagh, D. / Sutton, D. / Newcomb, S. / SPIE et al. | 2003
- 1181
-
Negative resist image by dry etching as a novel top surface imaging process for ion-beam lithographyArshak, Khalil I. / Mihov, Miroslav / Arshak, Arousian / McDonagh, Declan / Sutton, David / Newcomb, Simon et al. | 2003
- 1192
-
A comparative study between organic and inorganic resists in electron beam lithography using Monte Carlo simulationsJeyakumar, Augustin / Henderson, Clifford L. et al. | 2003
- 1192
-
Comparative study between organic and inorganic resists in electron beam lithography using Monte Carlo simulations [5039-139]Jeyakumar, A. / Henderson, C. L. / SPIE et al. | 2003
- 1204
-
Novel silicon-containing polymers as photoresist materials for EUV lithography [5039-141]Kwark, Y.-J. / Bravo-Vasquez, J. P. / Ober, C. K. / Cao, H. B. / Deng, H. / Meagley, R. P. / SPIE et al. | 2003
- 1204
-
Novel silicon-containing polymers as photoresist materials for EUV lithographyKwark, Young-Je / Bravo-Vasquez, Juan-Pablo / Ober, Christopher K. / Cao, Heidi B. / Deng, Hai / Meagley, Robert P. et al. | 2003
- 1212
-
Molecular weight effect on line-edge roughness [5039-142]Yamaguchi, T. / Yamazaki, K. / Namatsu, H. / SPIE et al. | 2003
- 1212
-
Molecular weight effect on line-edge roughnessYamaguchi, Toru / Yamazaki, Kenji / Namatsu, Hideo et al. | 2003
- 1220
-
Novel laser ablation patterning with organic film in running waterIto, Shinichi / Ikegami, Hiroshi / Kawano, Kenji / Takeishi, Tomoyuki / Hayasaka, Nobuo / Kobayashi, Masayoshi / Hamamoto, Tetsuya / Ogawa, Yoichi / Yoshitaka, Naoto / Terada, Shoichi et al. | 2003
- 1220
-
Novel laser ablation patterning with organic film in running water [5039-143]Ito, S. / Ikegami, H. / Kawano, K. / Takeishi, T. / Hayasaka, N. / Kobayashi, M. / Hamamoto, T. / Ogawa, Y. / Yoshitaka, N. / Terada, S. et al. | 2003
- 1229
-
Line-edge roughness (LER) optimization on 300-mm DUV alternating phase shift (altPSM) processes [5039-147]Ho, B. C. P. / Guenther, D. / Cheng, M. / Sotoodeh, K. / Rudack, A. / Yamaguchi, R. / Brown, B. / Ickes, M. / Nafus, K. / SPIE et al. | 2003
- 1229
-
Line-edge roughness (LER) optimization on 300-mm DUV alternating phase shift (altPSM) processesHo, Benjamin C. P. / Guenther, Doug / Cheng, Mosong / Sotoodeh, Ken / Rudack, Anne / Yamaguchi, Richard / Brown, Bob / Ickes, Marcus / Nafus, Kathleen et al. | 2003
- 1242
-
Diffusion parameter analysis for chemical amplification resists as a function of resist processKim, Myoung-Soo / Paek, Seung-Weon / Kwak, Dae-Sub / Kim, Hak-Joon / Lee, Chul-Seung / Gil, Myung-Goon / Song, Yong-Wook et al. | 2003
- 1242
-
Diffusion parameter analysis for chemical amplification resists as a function of resist process [5039-148]Kim, M.-S. / Paek, S.-W. / Kwak, D.-S. / Kim, H.-J. / Lee, C.-S. / Gil, M.-G. / Song, Y.-W. / SPIE et al. | 2003
- 1248
-
Novel development technique using ozonated water [5039-149]Hayasaki, K. / Takahashi, R. / Takeishi, T. / Ito, S. / SPIE et al. | 2003
- 1248
-
Novel development technique using ozonated waterHayasaki, Kei / Takahashi, Riichiro / Takeishi, Tomoyuki / Ito, Shinichi et al. | 2003
- 1257
-
Characterization of an ultrathick positive photoresist for electroplating applications [5039-151]Flack, W. W. / Nguyen, H.-A. / Capsuto, E. S. / SPIE et al. | 2003
- 1257
-
Characterization of an ultra-thick positive photoresist for electroplating applicationsFlack, Warren W. / Nguyen, Ha-Ai / Capsuto, Elliott S. et al. | 2003
- 1272
-
Challenges of processing thick and ultrathick photoresist filmsKubenz, Mike / Ostrzinski, Ute / Reuther, Freimut / Gruetzner, Gabi et al. | 2003
- 1272
-
Challenges of processing thick and ultrathick photoresist films [5039-152]Kubenz, M. / Ostrzinski, U. / Reuther, F. / Gruetzner, G. / SPIE et al. | 2003
- 1281
-
Optimized thick film processing for bumping layers [5039-153]Wanat, S. F. / Plass, R. / Sison, E. S. / Zhuang, H. / Lu, P.-H. / Hamel, C. / Guevremont, J. M. / SPIE et al. | 2003
- 1281
-
Optimized thick film processing for bumping layersWanat, Stanley F. / Plass, Robert / Sison, Ernesto S. / Zhuang, Hong / Lu, Ping-Hung / Hamel, Clifford / Guevremont, Jeffrey M. et al. | 2003
- 1289
-
Application of reversed pattern transfer process for sub-90-nm technology [5039-59]Sho, K. / Shibata, T. / Kato, H. / Abe, J. / Ohnishi, Y. / Urayama, K. / SPIE et al. | 2003
- 1289
-
Application of reversed pattern transfer process for sub-90-nm technologySho, Koutaro / Shibata, Tsuyoshi / Kato, Hirokazu / Abe, Junko / Ohnishi, Yoshinobu / Urayama, Kazuhiko et al. | 2003
- 1298
-
Improvement of pattern collapse in sub- 100-nm nodes [5039-92]Jung, M.-H. / Lee, S.-H. / Kim, H.-W. / Woo, S.-G. / Cho, H.-K. / Han, W.-S. / SPIE et al. | 2003
- 1298
-
Improvement of pattern collapse in sub-100 nm nodesJung, Myoung-Ho / Lee, Sung-Ho / Kim, Hyun-Woo / Woo, Sang-Gyun / Cho, Han-Ku / Han, Woo-Sung et al. | 2003
- 1304
-
TMAH soak process optimization with DNQ positive resist for lift-off applicationsMullen, Salem K. / Toukhy, Medhat A. / Lu, Ping-Hung / Dixit, Sunit S. / Sellers, Paul et al. | 2003
- 1304
-
TMAH soak process optimization with DNQ positive resist for lift-off applications [5039-150]Mullen, S. K. / Toukhy, M. A. / Lu, P.-H. / Dixit, S. S. / Sellers, P. / SPIE et al. | 2003
- 1312
-
Reduction of implantation shadowing effect by dual-wavelength exposure photo process [5039-154]Gu, Y. / Chou, D. / Lee, S. Y. / Roche, W. R. / Sturtevant, J. L. / SPIE et al. | 2003
- 1312
-
Reduction of implantation shadowing effect by dual-wavelength exposure photo processGu, Yiming / Chou, Dyiann / Lee, Sang Yun / Roche, William R. / Sturtevant, John L. et al. | 2003
- 1319
-
Novel apparatus for the uniform heating of substrates during post expose bakeHillman, Gary / Infelta, Pierre et al. | 2003
- 1319
-
Novel apparatus for the uniform heating of substrates during post expose bake [5039-155]Hillman, G. / Infelta, P. / SPIE et al. | 2003
- 1327
-
Progress toward the development of a 157-nm photoresist for carbon-dioxide-based lithography [5039-156]Zannoni, L. A. / Simhan, J. / DeSimone, J. M. / SPIE et al. | 2003
- 1327
-
Progress towards the development of a 157-nm photoresist for carbon- dioxide-based lithographyZannoni, Luke A. / Simhan, Jay / DeSimone, Joseph M. et al. | 2003
- 1333
-
Critical dimension (CD) control in 157-nm lithographyHori, Shinya / Yoshihara, Kosuke / Kyoda, Hideharu / Matsui, Hidefumi / Furukawa, Takamitsu / Miyoshi, Seiro / Kawaguchi, Etsurou / Itani, Toshiro et al. | 2003
- 1333
-
Critical dimension (CD) control in 157-nm lithography [5039-158]Hori, S. / Yoshihara, K. / Kyoda, H. / Matsui, H. / Furukawa, T. / Miyoshi, S. / Kawaguchi, E. / Itani, T. / SPIE et al. | 2003
- 1343
-
Novel development method to improve critical dimensional control [5039-160]Nishiya, A. / Sakamoto, K. / SPIE et al. | 2003
- 1343
-
Novel development method to improve critical dimensional controlNishiya, Akira / Sakamoto, Kazuo et al. | 2003
- 1353
-
Improvement of CD controllability in developer processKyoda, Hideharu / Okouchi, Atsushi / Takeguchi, Hirofumi / Kim, Hyun Woo / Yamamoto, Taro / Yoshihara, Kosuke et al. | 2003
- 1353
-
Improvement of CD controllability in development process [5039-161]Kyoda, H. / Okouchi, A. / Takeguchi, H. / Kim, H. W. / Yamamoto, T. / Yoshihara, K. / SPIE et al. | 2003
- 1366
-
Improvement of pattern collapse issue by additive-added D.I. water rinse processTanaka, Keiichi / Naito, Ryoichiro / Kitada, Tomohiro / Kiba, Yukio / Yamada, Yoshiaki / Kobayashi, Masakazu / Ichikawa, Hiroyuki et al. | 2003
- 1366
-
Improvement of pattern collapse issue by additive-added D.I water rinse process [5039-162]Tanaka, K. / Naito, R. / Kitada, T. / Kiba, Y. / Yamada, Y. / Kobayashi, M. / Ichikawa, H. / SPIE et al. | 2003
- 1382
-
Characterization of resist flow process for the improvement of contact hole CD uniformity [5039-163]Koh, C.-W. / Lee, D.-H. / Kim, M.-S. / Park, S.-N. / Kwon, W.-T. / SPIE et al. | 2003
- 1382
-
Characterization of resist flow process for the improvement of contact hole CD uniformityKoh, Cha-Won / Lee, Dong-Ho / Kim, Myoung-Soo / Park, Sung-Nam / Kwon, Won-Taik et al. | 2003
- 1390
-
Fabrication of integrated circuits with high yield using ultrathin resist processes [5039-164]Peters, R. D. / Postnikov, S. V. / Cobb, J. L. / Dakshina-Murthy, S. / Stephens, T. / Parker, C. / Luckowski, E. / Martinez, A. M. / Wu, W. / Hector, S. D. et al. | 2003
- 1390
-
Fabrication of integrated circuits with high yield using ultra-thin resist processesPeters, Richard D. / Postnikov, Sergei V. / Cobb, Jonathan L. / Dakshina-Murthy, S. / Stephens, Tab / Parker, Colita / Luckowski, Eric / Martinez, Arturo M. / Wu, Wei / Hector, Scott D. et al. | 2003
- 1402
-
Impact of surfactant in developer on CD performanceZhang, Peng / Jaramillo, Manuel / King, Danielle M. / Markley, Thomas J. / Zarkov, Zarka / Witko, David / Paxton, Ted A. / Davis, Todd et al. | 2003
- 1402
-
Impact of surfactant in developer on CD performance [5039-165]Zhang, P. / Jaramillo, M. / King, D. M. / Markley, T. J. / Zarkov, Z. / Witko, D. / Paxton, T. A. / Davis, T. / SPIE et al. | 2003
- 1409
-
Impact of surfactant in developer and rinse solution on 193-nm lithography performanceZhang, Peng / Jaramillo, Manuel / King, Danielle M. / Ross, Brenda / Witko, David / Paxton, Ted A. / Davis, Todd et al. | 2003
- 1409
-
Impact of surfactant in developer and rinse solution on 193-nm lithography performance [5039-166]Zhang, P. / Jaramillo, M. / King, D. M. / Ross, B. / Witko, D. / Paxton, T. A. / Davis, T. / SPIE et al. | 2003
- 1416
-
Rinse liquid to improve pattern collapse behaviorLee, Geunsu / Lee, Sung-Koo / Hwang, Young-Sun / Jung, Jae-Chang / Bok, Cheol-Kyu / Moon, Seung-Chan / Shin, Ki-Soo et al. | 2003
- 1416
-
Rinse liquid to improve pattern collapse behavior [5039-167]Lee, G. / Lee, S.-K. / Hwang, Y.-S. / Jung, J.-C. / Bok, C. / Moon, S.-C. / Shin, K.-S. / SPIE et al. | 2003
- 1425
-
Robust lithography application to prevent resist poisoning in BEOL [5039-168]Shimura, S. / Kawasaki, T. / Iwashita, M. / SPIE et al. | 2003
- 1425
-
Robust lithography application to prevent resist poisoning in BEOLShimura, Satoru / Kawasaki, Tetsu / Iwashita, Mitsuaki et al. | 2003
- 1433
-
Performance evaluation and analysis of a novel 300-mm combination bake-chill station [5039-169]Narasimhan, A. / Ramanan, N. R. / Williams, D. J. / SPIE et al. | 2003
- 1433
-
Performance evaluation and analysis of a novel 300-mm combination bake-chill stationNarasimhan, Arunn / Ramanan, Natarajan R. / Williams, Daniel J. et al. | 2003
- 1444
-
Resist cracking and adhesion improvement [5039-170]Lee, I.-H. / Lee, J.-S. / Lee, K.-Y. / Park, C.-G. / Choi, J.-S. / Lee, J. / SPIE et al. | 2003
- 1444
-
Resist cracking and adhesion improvementLee, Il-Ho / Lee, Jin-Seo / Lee, Kwan-Yul / Park, Chun-Geun / Choi, Jae-Sung / Lee, Jeong et al. | 2003