Metrology for directed self-assembly block lithography using optical scatterometry (English)
- New search for: Dixit, Dhairya
- New search for: Kamineni, Vimal
- New search for: Farrell, Richard
- New search for: Hosler, Erik
- New search for: Preil, Moshe
- New search for: Race, Joseph
- New search for: Peterson, Brennan
- New search for: Diebold, Alain C.
- New search for: Dixit, Dhairya
- New search for: Kamineni, Vimal
- New search for: Farrell, Richard
- New search for: Hosler, Erik
- New search for: Preil, Moshe
- New search for: Race, Joseph
- New search for: Peterson, Brennan
- New search for: Diebold, Alain C.
In:
Proc. SPIE
;
9050
; 90500N
;
2014
-
ISBN:
-
ISSN:
- Conference paper / Electronic Resource
-
Title:Metrology for directed self-assembly block lithography using optical scatterometry
-
Contributors:Dixit, Dhairya ( author ) / Kamineni, Vimal ( author ) / Farrell, Richard ( author ) / Hosler, Erik ( author ) / Preil, Moshe ( author ) / Race, Joseph ( author ) / Peterson, Brennan ( author ) / Diebold, Alain C. ( author )
-
Conference:Metrology, Inspection, and Process Control for Microlithography XXVIII ; 2014 ; San Jose,California,USA
-
Published in:Proc. SPIE ; 9050 ; 90500N
-
Publisher:
- New search for: SPIE
-
Publication date:2014-04-02
-
ISBN:
-
ISSN:
-
DOI:
-
Type of media:Conference paper
-
Type of material:Electronic Resource
-
Language:English
-
Source:
Table of contents conference proceedings
The tables of contents are generated automatically and are based on the data records of the individual contributions available in the index of the TIB portal. The display of the Tables of Contents may therefore be incomplete.
- 90500A
-
10nm three-dimensional CD-SEM metrologyVladár, András E. / Villarrubia, John S. / Chawla, Jasmeet / Ming, Bin / Kline, Joseph R. / List, Scott / Postek, Michael T. et al. | 2014
- 90500B
-
Optical technologies for TSV inspectionAiyer, Arun A. / Maltsev, Nikolai / Ryu, Jae et al. | 2014
- 90500C
-
Addressing FinFET metrology challenges in 1X node using tilt-beam CD-SEMZhang, Xiaoxiao / Zhou, Hua / Ge, Zhenhua / Vaid, Alok / Konduparthi, Deepasree / Osorio, Carmen / Ventola, Stefano / Meir, Roi / Shoval, Ori / Kris, Roman et al. | 2014
- 90500D
-
Novel three dimensional (3D) CD-SEM profile measurementsIto, Wataru / Bunday, Benjamin / Harada, Sumito / Cordes, Aaron / Murakawa, Tsutomu / Arceo, Abraham / Yoshikawa, Makoto / Hara, Toshihiko / Arai, Takehito / Shida, Soichi et al. | 2014
- 90500F
-
Metrology of white light interferometer for TSV processingTimoney, Padraig / Ko, Yeong-Uk / Fisher, Daniel / Lu, Cheng Kuan / Ramnath, Yudesh / Vaid, Alok / Thangaraju, Sarasvathi / Smith, Daniel / Kamineni, Himani / Zhang, Dingyou et al. | 2014
- 90500G
-
Influence of metrology error in measurement of line edge roughness power spectral densityBunday, Benjamin D. / Mack, Chris A. et al. | 2014
- 90500I
-
New integrated Monte Carlo code for the simulation of high-resolution scanning electron microscopy images for metrology in microlithographyIlgüsatiroglu, Emre / Illarionov, Alexey Yu. / Ciappa, Mauro / Pfäffli, Paul / Bomholt, Lars et al. | 2014
- 90500J
-
Correction of EB-induced shrinkage in contour measurementsOhashi, Takeyoshi / Hotta, Shoji / Yamaguchi, Atsuko / Tanaka, Junichi / Kawada, Hiroki et al. | 2014
- 90500K
-
Dependence of secondary-electron yield on aspect ratio of several trench patternsBizen, Daisuke / Sohda, Yasunari / Kazumi, Hideyuki et al. | 2014
- 90500L
-
Determination of line edge roughness in low dose top-down scanning electron microscopy imagesVerduin, T. / Kruit, P. / Hagen, C. W. et al. | 2014
- 90500M
-
Cross-sectional profile prediction from top-view SEM images based on root-cause decomposition of line-edge roughnessFukuda, Hiroshi et al. | 2014
- 90500N
-
Metrology for directed self-assembly block lithography using optical scatterometryDixit, Dhairya / Kamineni, Vimal / Farrell, Richard / Hosler, Erik / Preil, Moshe / Race, Joseph / Peterson, Brennan / Diebold, Alain C. et al. | 2014
- 90500O
-
Novel metrology methods for fast 3D characterization of directed self-assembly (DSA) patterns for high volume manufacturingSarma, Chandra / Bunday, Benjamin / Cepler, Aron / Dziura, Ted / Kim, JiHoon / Lin, Guanyang / Yin, Jian et al. | 2014
- 90500P
-
Estimating pattern sensitivity to the printing process for varying dose/focus conditions for RET development in the sub-22nm eraSeguin, Benoit / Saab, Henri / Gabrani, Maria / Estellers, Virginia et al. | 2014
- 90500Q
-
Lithography run-to-run control in high mix manufacturing environment with a dynamic state estimation approachYelverton, Mark E. / Agrawal, Gaurav K. et al. | 2014
- 90500R
-
Improvement of inter-field CDU by using on-product focus controlPark, Kyeong Dong / Park, Tony / Hwang, Jong Hyun / Choi, Jin Phil / Kang, Young Seog et al. | 2014
- 90500S
-
Improving on-product performance at litho using integrated diffraction-based metrology and computationally designed device-like targets fit for advanced technologies (incl. FinFET)Chen, Kai-Hsiung / Huang, GT / Chen, KS / Hsieh, C. W. / Chen, YC / Ke, CM / Gau, TS / Ku, YC / Bhattacharyya, Kaustuve / Huang, Jacky et al. | 2014
- 90500T
-
CD-SEM metrology for sub-10nm width featuresBunday, Benjamin / Cepler, Aron / Cordes, Aaron / Arceo, Abraham et al. | 2014
- 90500U
-
Improving SEM image quality using pixel super resolution techniqueLee, Myungjun / Cantone, Jason / Xu, Ji / Sun, Lei / Kim, Ryoung-han et al. | 2014
- 90500V
-
Contour-based metrology for complex 2D shaped patterns printed by multiple-patterning processFuchimoto, Daisuke / Ishimoto, Toru / Shindo, Hiroyuki / Sugahara, Hitoshi / Toyoda, Yasutaka / Mailfert, Julien / De Bisschop, Peter et al. | 2014
- 90500W
-
Parallel SPM cantilever arrays for large area surface metrology and lithographyGotszalk, Teodor / Ivanov, Tzvetan / Rangelow, Ivo W. et al. | 2014
- 90501A
-
New inspection technology for observing nanometer size defects using expansion soft templateMorita, Seiji / Yoshikawa, Ryoji / Hirano, Takashi / Higashiki, Tatsuhiko et al. | 2014
- 90501B
-
Parallel, miniaturized scanning probe microscope for defect inspection and reviewSadeghian, H. / van den Dool, T. C. / Crowcombe, W. E. / Herfst, R. W. / Winters, J. / Kramer, G. F. I. J. / Koster, N. B. et al. | 2014
- 90501C
-
Computational techniques for determining printability of real defects in EUV mask pilot lineMorgan, Paul / Rost, Daniel / Price, Daniel / Li, Ying / Peng, Daniel / Chen, Dongxue / Hu, Peter / Corcoran, Noel / Son, Donghwan / Yonenaga, Dean et al. | 2014
- 90501D
-
Quantitative tabletop coherent diffraction imaging microscope for EUV lithography mask inspectionZhang, Bosheng / Adams, Daniel E. / Seaberg, Matthew D. / Gardner, Dennis F. / Shanblatt, Elisabeth R. / Kapteyn, Henry / Murnane, Margaret et al. | 2014
- 90501E
-
Novel in-line metrology methods for Fin pitch walking monitoring in 14nm node and beyondChao, Robin / Kohli, Kriti / Zhang, Yunlin / Madan, Anita / Muthinti, G. Raja / Hong, Augustin J. / Conklin, David / Holt, Judson / Bailey, Todd C. et al. | 2014
- 90501F
-
Weak measurements applied to process monitoring using focused beam scatterometryBrown, Thomas G. / Alonso, Miguel A. / Vella, Anthony / Theisen, Michael J. / Head, Stephen T. et al. | 2014
- 90501G
-
Enhanced optical CD metrology by hybridization and azimuthal scatterometryZangooie, Shahin / Li, Jie / Boinapally, Karthik / Wilkens, Peter / Ver, Avraham / Khamsepour, Babak / Schroder, Holger / Piggot, John / Yedur, Sanjay / Liu, Zhuan et al. | 2014
- 90501H
-
High speed optical metrology solution for after etch process monitoring and controlCharley, Anne-Laure / Leray, Philippe / Pypen, Wouter / Cheng, Shaunee / Verma, Alok / Mattheus, Christine / Wisse, Baukje / Cramer, Hugo / Niesing, Henk / Kruijswijk, Stefan et al. | 2014
- 90501I
-
Visualization of Si surface and interface quality by non-contact optical characterization techniquesYoo, Woo Sik / Kang, Kitaek / Ishigaki, Toshikazu / Ueda, Takeshi et al. | 2014
- 90501J
-
Integrated ADI optical metrology solution for lithography process control of CD and OVStrobl, Marlene / Tsai, Wilhelm / Lan, Andy / Chen, Tom / Hsu, Wilson / Chen, Henry / Liang, Frida / Wang, Alan / Hung, Platt / Huang, David et al. | 2014
- 90501K
-
Sidewall roughness and line profile measurement of photoresist and finFET features by cross-section STEM and TEM image for reference metrologyTakamasu, Kiyoshi / Okitou, Haruki / Takahashi, Satoru / Inoue, Osamu / Kawada, Hiroki / Kamineni, Vimal / Paul, Abhijeet / Bello, A. F. et al. | 2014
- 90501L
-
Verification metrology system by using inline reference metrologyAbe, Hideaki / Ishibashi, Yasuhiko / Ida, Chihiro / Hamaguchi, Akira / Ikeda, Takahiro / Yamazaki, Yuichiro et al. | 2014
- 90501M
-
Impact of shrinking measurement error budgets on qualification metrology sampling and costSendelbach, Matthew / Sarig, Niv / Wakamoto, Koichi / Kim, Hyang Kyun (Helen) / Isbester, Paul / Asano, Masafumi / Matsuki, Kazuto / Vaid, Alok / Osorio, Carmen / Archie, Chas et al. | 2014
- 90501N
-
Innovative fast technique for overlay accuracy estimation using archer self calibration (ASC)Hsu, Simon C. C. / Chen, Charlie / Yu, Chun Chi / Pai, Yuan Chi / Amit, Eran / Yap, Lipkong / Itzkovich, Tal / Tien, David / Huang, Eros / Kuo, Kelly T. L. et al. | 2014
- 90501O
-
Real cell overlay measurement through design based metrologyYoo, Gyun / Kim, Jungchan / Park, Chanha / Lee, Taehyeong / Ji, Sunkeun / Jo, Gyoyeon / Yang, Hyunjo / Yim, Donggyu / Yamamoto, Masahiro / Maruyama, Kotaro et al. | 2014
- 90501P
-
Integrated production overlay field-by-field control for leading edge technology nodesChung, Woong Jae / Tristan, John / Gutjahr, Karsten / Subramany, Lokesh / Li, Chen / Sun, Yulei / Yelverton, Mark / Kim, Young Ki / Kim, Jeong Soo / Huang, Chin-Chou Kevin et al. | 2014
- 90501Q
-
Mask contribution to intra-field wafer overlayChou, William / Chang, Hsien-Min / Chen, Chao Yin / Wagner, M. / Roeth, K.-D. / Czerkas, S. / Ferber, M. / Daneshpanah, M. / Laske, F. / Chiang, R. et al. | 2014
- 90501R
-
Innovative techniques for improving overlay accuracy by using DCM (device correlated metrology) targets as referenceTzai, Wei-Jhe / Hsu, Simon C. C. / Chen, Howard / Chen, Charlie / Pai, Yuan Chi / Yu, Chun-Chi / Lin, Chia Ching / Itzkovich, Tal / Yap, Lipkong / Amit, Eran et al. | 2014
- 90501S
-
Overlay improvements using a real time machine learning algorithmSchmitt-Weaver, Emil / Kubis, Michael / Henke, Wolfgang / Slotboom, Daan / Hoogenboom, Tom / Mulkens, Jan / Coogans, Martyn / ten Berge, Peter / Verkleij, Dick / van de Mast, Frank et al. | 2014
- 90501T
-
Advanced CD-SEM metrology for pattern roughness and local placement of lamellar DSAKato, Takeshi / Sugiyama, Akiyuki / Ueda, Kazuhiro / Yoshida, Hiroshi / Miyazaki, Shinji / Tsutsumi, Tomohiko / Kim, JiHoon / Cao, Yi / Lin, Guanyang et al. | 2014
- 90501U
-
Evaluation of lens heating effect in high transmission NTD processes at the 20nm technology nodeJeon, Bumhwan / Lee, Sam / Subramany, Lokesh / Li, Chen / Pal, Shyam / Meyers, Sheldon / Mehta, Sohan / Wei, Yayi / Cho, David R. et al. | 2014
- 90501V
-
Automatically high accurate and efficient photomask defects management solution for advanced lithography manufactureZhu, Jun / Chen, Lijun / Ma, Lantao / Li, Dejian / Jiang, Wei / Pan, Lihong / Shen, Huiting / Jia, Hongmin / Hsiang, Chingyun / Cheng, Guojie et al. | 2014
- 90501W
-
Design of the phase-shifting algorithm for flatness measurement of a mask blank glassKim, Yangjin / Hibino, Kenichi / Sugita, Naohiko / Mitsuishi, Mamoru et al. | 2014
- 90501X
-
Precise CD-SEM metrology of resist patterns at around 20 nm for 0.33NA EUV lithographyOkai, Nobuhiro / Lavigne, Erin / Hitomi, Keiichiro / Halle, Scott / Hotta, Shoji / Koshihara, Shunsuke / Petrillo, Karen / Yamaguchi, Atsuko / Tanaka, Junichi / Bailey, Todd et al. | 2014
- 90501Y
-
Lithography focus/exposure control and corrections to improve CDU at post etch stepKim, Young Ki / Yelverton, Mark / Tristan, John / Lee, Joungchel / Gutjahr, Karsten / Hsu, Ching-Hsiang / Wei, Hong / Wang, Lester / Li, Chen / Subramany, Lokesh et al. | 2014
- 90501Z
-
The metal ions from track filter and its impact to product yield in IC manufacturingKuo, Tung-Chang et al. | 2014
- 90502B
-
An analytical method for the measurement of trace level acidic and basic AMC using liquid-free sample trapsMoulton, Tyler M. / Zaloga, Emily C. / Chase, Katherine M. / Lobert, Jürgen M. et al. | 2014
- 90502D
-
A method for the combined measurement of volatile and condensable organic AMC in semiconductor applicationsMiller, Charles M. / Zaloga, Emily C. / Lobert, Jürgen M. et al. | 2014
- 90502E
-
Handling, clamping, and alignment evaluation for multi-beam technology on Matrix1.1 platformLattard, Ludovic / Pradelles, Jonathan / Vergeer, Niels / Slot, Erwin / Pain, Laurent / de Jong, Erik / Torriani, Gianpaolo / Pieczulewski, Charles et al. | 2014
- 90502F
-
Focus control budget analysis for critical layers of flash devicesJang, Jong Hoon / Park, Tony / Park, Kyeong Dong / Hwang, Jong Hyun / Choi, Jin Phil / Kang, Young Seog et al. | 2014
- 90502G
-
Macroscopic exploration and visual quality inspection of thin film depositDésage, Simon-Frédéric / Pitard, Gilles / Favrelière, Hugues / Pillet, Maurice / Dellea, Olivier / Fugier, Pascal / Coronel, Philippe / Ollier, Emmanuel et al. | 2014
- 90502H
-
Wafer surface pre-treatment study for micro bubble free of lithography processYang, Xiaosong / Zhu, XiaoZheng / Cai, Spencer et al. | 2014
- 90502J
-
Run time scanner data analysis for HVM lithography process monitoring and stability controlChung, Woong Jae / Kim, Young Ki / Tristan, John / Kim, Jeong Soo / Subramany, Lokesh / Li, Chen / Riggs, Brent / Ramanathan, Vidya / Karur-Shanmugam, Ram / Hoo, George et al. | 2014
- 90502K
-
Isolation mounts scatterometry with RCWA and PMLShirasaki, Hirokimi et al. | 2014
- 90502L
-
Data fusion for CD metrology: heterogeneous hybridization of scatterometry, CDSEM, and AFM dataHazart, J. / Chesneau, N. / Evin, G. / Largent, A. / Derville, A. / Thérèse, R. / Bos, S. / Bouyssou, R. / Dezauzier, C. / Foucher, J. et al. | 2014
- 90502M
-
Accurate contour extraction from mask SEM imageSanto, Izumi / Higuchi, Akira / Anazawa, Mirai / Bandoh, Hideaki et al. | 2014
- 90502N
-
Improvement of CD-SEM mark position measurement accuracyKasa, Kentaro / Fukuhara, Kazuya et al. | 2014
- 90502O
-
Mean offset optimization for multi-patterning overlay using Monte Carlo simulation methodWang, Wenhui / Cui, Liping / Sun, Lei / Kim, Ryoung-Han et al. | 2014
- 90502Q
-
20nm MOL overlay case studySubramany, Lokesh / Hsieh, Michael / Li, Chen / Koh, Hui Peng / Cho, David / Golotsvan, Anna / Ramanathan, Vidya / Karur Shanmugam, Ramkumar / Yap, Lipkong et al. | 2014
- 90502R
-
Metrology of advanced N14 process pattern split at lithographyTzai, Wei-Jhe / Lin, Chia-Ching / Chen, Chien-Hao / Yu, Chun Chi / Chu, Wei-Yuan / Yoo, Sungchul / Huang, Chien-Jen / Cheng, Chao-Yu / Su, Hsiao-Fei et al. | 2014
- 90502S
-
Development of UV inspection system on the defect of electrode for 5μm level multilayer patternNamgung, Kee / Woo, Jihun / Lim, Sanghee / Lee, Seonho / Lee, Jisoo / Lim, Seung il / Lim, Jaewon / Kim, Byongkyeom / Kim, Jaisoon et al. | 2014
- 90502T
-
Scatterometry performance improvement by parameter and spectrum feed-forwardLi, Jie / Zangooie, Shahin / Boinapally, Karthik / Zou, Xi / Hu, Jiangtao / Liu, Zhuan / Yedur, Sanjay / Wilkens, Peter / Ver, Avraham / Cohen, Robert et al. | 2014
- 90502U
-
Spectroscopic critical dimension technology (SCD) for directed self assemblyNishibe, Senichi / Dziura, Thaddeus / Nagaswami, Venkat / Gronheid, Roel et al. | 2014
- 90502V
-
SEM-contour shape analysis based on circuit structure for advanced systematic defect inspectionToyoda, Yasutaka / Shindo, Hiroyuki / Hojo, Yutaka / Fuchimoto, Daisuke et al. | 2014
- 90502W
-
Real-time focus and overlay measurement by the use of fluorescent markersMaas, Diederik / van Zwet, Erwin et al. | 2014
- 90502X
-
High-precision self-tool CD matching with focus-target assist pattern by computational waysKim, Sung-Man / Kim, Hyun-Chul / Lee, Jung-Woo / Kim, Young-Seok / Kim, Yong-Ho / Won, Sung-Keun / Kim, Sung-il (Andrew) / Park, Ki-Yeop (Chris) / Ryu, Chang-Hoon / Fan, Qi-Tong et al. | 2014
- 90502Y
-
In-line focus monitoring and fast determination of best focus using scatterometryHa, Steven Thanh / Eynon, Benjamin / Wynia, Melany / Schmidt, Jeff / Sparka, Christian / Mani, Antonio / Volkovich, Roie / Yoon, SeungHoon / Tien, David / Robinson, John et al. | 2014
- 90502Z
-
Comparative defect classifications and analysis of Lasertec's M1350 and M7360Godwin, Milton / Balachandran, Dave / Tamura, Tomoya / Jia, Anwei et al. | 2014
- 905001
-
Front Matter: Volume 9050| 2014
- 905004
-
Optimizing hybrid metrology through a consistent multi-tool parameter set and uncertainty modelSilver, R. M. / Barnes, B. M. / Zhang, N. F. / Zhou, H. / Vladár, A. / Villarrubia, J. / Kline, J. / Sunday, D. / Vaid, A. et al. | 2014
- 905005
-
Leveraging data analytics, patterning simulations and metrology models to enhance CD metrology accuracy for advanced IC nodesRana, Narender / Zhang, Yunlin / Kagalwala, Taher / Hu, Lin / Bailey, Todd et al. | 2014
- 905006
-
New techniques in large scale metrology toolset data mining to accelerate integrated chip technology development and increase manufacturing efficienciesSolecky, Eric / Rana, Narender / Minns, Allan / Gustafson, Carol / Lindo, Patrick / Cornell, Roger / Llanos, Paul et al. | 2014
- 905008
-
CDSEM AFM hybrid metrology for the characterization of gate-all-around silicon nano wiresLevi, Shimon / Schwarzband, Ishai / Weinberg, Yakov / Cornell, Roger / Adan, Ofer / Cohen, Guy M. / Gignac, Lynne / Bangsaruntip, Sarunya / Hand, Sean / Osborne, Jason et al. | 2014
- 905009
-
Hybrid metrology universal engine: co-optimizationVaid, Alok / Osorio, Carmen / Tsai, Jamie / Bozdog, Cornel / Sendelbach, Matthew / Grubner, Eyal / Koret, Roy / Wolfling, Shay et al. | 2014
- 905012
-
Nanometrology on gratings with GISAXS: FEM reconstruction and fourier analysisSoltwisch, Victor / Wernecke, Jan / Haase, Anton / Probst, Jürgen / Schoengen, Max / Krumrey, Michael / Scholze, Frank et al. | 2014
- 905013
-
Monitoring process-induced overlay errors through high-resolution wafer geometry measurementsTurner, K. T. / Vukkadala, P. / Veeraraghavan, S. / Sinha, J. K. et al. | 2014
- 905014
-
Investigation on reticle heating effect induced overlay errorLim, Mijung / Kim, Geunhak / Kim, SeoMin / Lee, Byounghoon / Kim, Seokkyun / Lim, Chang-moon / Kim, Myoungsoo / Park, Sungki et al. | 2014
- 905015
-
Compensating process non-uniformity to improve wafer overlay by RegCLeray, Philippe / Cheng, Shaunee / Cohen, Avi / Graitzer, Erez / Dmitriev, Vladimir / Rehtan, Shiran / Wertsman, Nadav et al. | 2014
- 905016
-
Optical volumetric inspection of sub-20nm patterned defects with wafer noiseBarnes, Bryan M. / Goasmat, Francois / Sohn, Martin Y. / Zhou, Hui / Vladár, András E. / Silver, Richard M. / Arceo, Abraham et al. | 2014
- 905017
-
9nm node wafer defect inspection using visible lightZhou, Renjie / Edwards, Chris / Popescu, Gabriel / Goddard, Lynford L. et al. | 2014
- 905018
-
Highly effective and accurate weak point monitoring method for advanced design rule (1x nm) devicesAhn, Jeongho / Seong, ShiJin / Yoon, Minjung / Park, Il-Suk / Kim, HyungSeop / Ihm, Dongchul / Chin, Soobok / Sivaraman, Gangadharan / Li, Mingwei / Babulnath, Raghav et al. | 2014
- 905019
-
Real-time inspection system utilizing scatterometry pupil dataBaek, Jae Yeon / Leray, Philippe / Charley, Anne-Laure / Spanos, Costas J. et al. | 2014
- 905021
-
In cleanroom, sub-ppb real-time monitoring of volatile organic compounds using proton-transfer reaction/time of flight/mass spectrometryHayeck, Nathalie / Maillot, Philippe / Vitrani, Thomas / Pic, Nicolas / Wortham, Henri / Gligorovski, Sasho / Temime-Roussel, Brice / Mizzi, Aurélie / Poulet, Irène et al. | 2014
- 905024
-
Investigation of a methodology for in-film defects detection on film coated blank wafersKiyotomi, Akiko / Dauendorffer, Arnaud / Shimura, Satoru / Miyazaki, Shinobu / Miyagi, Takemasa / Ota, Shigeru / Haneda, Koji / Baris, Oksen / Wei, Junwei et al. | 2014
- 905026
-
Across wafer CD uniformity optimization by wafer film scheme at double patterning lithography processLin, Hsiao-Chiang / Li, Yang-Liang / Wang, Shiuan-Chuan / Liu, Chien-Hung / Wang, Zih-Song / Hsuh, Jhung-Yuin et al. | 2014
- 905027
-
Defect analysis methodology for contact hole grapho epitaxy DSAHarukawa, Ryota / Aoki, Masami / Cross, Andrew / Nagaswami, Venkat / Kawakami, Shinichiro / Yamauchi, Takashi / Tomita, Tadatoshi / Nagahara, Seiji / Muramatsu, Makoto / Kitano, Takahiro et al. | 2014
- 905028
-
Defect analysis and alignment quantification of line arrays prepared by directed self-assembly of a block copolymerSimão, C. / Tuchapsky, D. / Khunsin, W. / Amann, A. / Morris, M. A. / Sotomayor Torres, C. M. et al. | 2014
- 905029
-
New robust edge detection methodology for qualifying DSA characteristics by using CD SEMYamaguchi, Satoru / Ueda, Kazuhiro / Kato, Takeshi / Hasegawa, Norio / Yamauchi, Takashi / Kawakami, Shinichiro / Muramatsu, Makoto / Nagahara, Seiji / Kitano, Takahiro et al. | 2014
- 905030
-
Tracking defectivity of EUV and SADP processing using bright-field inspectionAlexis, Nadine / Bencher, Chris / Chen, Yongmei / Dai, Huixiong / Dotan, Kfir / Huang, Dale / Nalven, Alison / Ngai, Chris / Santoro, Gaetano / Vijayaraghavan, Bharath et al. | 2014
- 905031
-
Improved reticle requalification accuracy and efficiency via simulation-powered automated defect classificationParacha, Shazad / Eynon, Benjamin / Noyes, Ben F. / Nhiev, Anthony / Vacca, Anthony / Fiekowsky, Peter / Fiekowsky, Dan / Ham, Young Mog / Uzzel, Doug / Green, Michael et al. | 2014
- 905032
-
Direct-scatterometry-enabled PEC model calibration with two-dimensional layoutsYang, Yi-Yeh / Lee, Hsuan-Ping / Liu, Chun-Hung / Yu, Hao-Yun / Tsai, Kuen-Yu / Li, Jia-Han et al. | 2014
- 905033
-
Implementation of background scattering variance reduction on the rapid nano particle scannervan der Walle, Peter / Hannemann, Sandro / van Eijk, Daan / Mulckhuyse, Wouter / van der Donck, Jacques C. J. et al. | 2014
- 905034
-
The measurement uncertainty of CD measurement in the optical measurement technology using Fourier imageNagashima, Kuniharu / Abe, Hideaki / Oote, Makoto / Yamazaki, Yuichiro et al. | 2014
- 905035
-
Process control using set-membership vector-form affine projection adaptive filtering schemeHu, Chia-Chang / Chen, Kui-He et al. | 2014
- 905036
-
Phase shift focus monitor for OAI and high NA immersion scannersKuo, H. M. / Peng, R. C. / Liu, H. H. et al. | 2014
- 905037
-
CD uniformity optimization at volume ramp up stage for new product introductionKim, Jin-Soo / Ma, Won-Kwang / Kim, Young-Sik / Kim, Myoung-Soo / Kwon, Won-Taik / Park, Sung-Ki / Nikolsky, Peter / Otter, Marian / Marun, Maryana Escalante / Anunciado, Roy et al. | 2014
- 905038
-
Plasma etched surface scanning inspection recipe creation based on bidirectional reflectance distribution function and polystyrene latex spheresSaldana, Tiffany / McGarvey, Steve / Ayres, Steve et al. | 2014
- 905039
-
The effect of individually-induced processes on image-based overlay and diffraction-based overlayOh, SeungHwa / Lee, Jeongjin / Lee, Seungyoon / Hwang, Chan / Choi, Gilheyun / Kang, Ho-Kyu / Jung, EunSeung et al. | 2014
-
Novel three dimensional (3D) CD-SEM profile measurements [9050-12]Ito, W. / Bunday, B. / Harada, S. / Cordes, A. / Murakawa, T. / Arceo, A. / Yoshikawa, M. / Hara, T. / Arai, T. / Shida, S. et al. | 2014
-
Cross-sectional profile prediction from top-view SEM Images based on root-cause decomposition of line-edge roughness [9050-21]Fukuda, H. / SPIE (Society) et al. | 2014
-
New robust edge detection methodology for qualifying DSA characteristics by using CD SEM [9050-79]Yamaguchi, S. / Ueda, K. / Kato, T. / Hasegawa, N. / Yamauchi, T. / Kawakami, S. / Muramatsu, M. / Nagahara, S. / Kitano, T. / SPIE (Society) et al. | 2014
-
In-line focus monitoring and fast determination of best focus using scatterometry [9050-109]Ha, S.T. / Eynon, B. / Wynia, M. / Schmidt, J. / Sparka, C. / Mani, A. / Volkovich, R. / Yoon, S. / Tien, D. / Robinson, J. et al. | 2014
-
The measurement uncertainty of CD measurement in the optical measurement technology using Fourier image [9050-115]Nagashima, K. / Abe, H. / Oote, M. / Yamazaki, Y. / SPIE (Society) et al. | 2014
-
Optimizing hybrid metrology through a consistent multi-tool parameter set and uncertainty model [9050-3]Silver, R.M. / Barnes, B.M. / Zhang, N.F. / Zhou, H. / Vladar, A. / Villarrubia, J. / Kline, J. / Sunday, D. / Vaid, A. / SPIE (Society) et al. | 2014
-
CDSEM AFM hybrid metrology for the characterization of gate-all-around silicon nano wires [9050-7]Levi, S. / Schwarzband, I. / Weinberg, Y. / Cornell, R. / Adan, O. / Cohen, G.M. / Gignac, L. / Bangsaruntip, S. / Hand, S. / Osborne, J. et al. | 2014
-
Influence of metrology error in measurement of line edge roughness power spectral density [9050-15]Bunday, B.D. / Mack, C.A. / SPIE (Society) et al. | 2014
-
9nm node wafer defect inspection using visible light [9050-41]Zhou, R. / Edwards, C. / Popescu, G. / Goddard, L.L. / SPIE (Society) et al. | 2014
-
Quantitative tabletop coherent diffraction imaging microscope for EUV lithography mask inspection [9050-46]Zhang, B. / Adams, D.E. / Seaberg, M.D. / Gardner, D.F. / Shanblatt, E.R. / Kapteyn, H. / Murnane, M. / SPIE (Society) et al. | 2014
-
Mask contribution to intra-field wafer overlay [9050-60]Chou, W. / Chang, H.-M. / Chen, C.Y. / Wagner, M. / Roeth, K.-D. / Czerkas, S. / Ferber, M. / Daneshpanah, M. / Laske, F. / Chiang, R. et al. | 2014
-
Integrated production overlay field-by-field control for leading edge technology nodes [9050-59]Chung, W.J. / Tristan, J. / Gutjahr, K. / Subramany, L. / Li, C. / Sun, Y. / Yelverton, M. / Kim, Y.K. / Kim, J.S. / Huang, C.-C.K. et al. | 2014
-
Precise CD-SEM metrology of resist patterns at around 20 nm for 0.33NA EUV lithography [9050-64]Okai, N. / Lavigne, E. / Hitomi, K. / Halle, S. / Hotta, S. / Koshihara, S. / Petrillo, K. / Yamaguchi, A. / Tanaka, J. / Bailey, T. et al. | 2014
-
Data fusion for CD metrology: heterogeneous hybridization of scatterometry, CDSEM, and AFM data [9050-92]Hazart, J. / Chesneau, N. / Evin, G. / Largent, A. / Derville, A. / Therese, R. / Bos, S. / Bouyssou, R. / Dezauzier, C. / Foucher, J. et al. | 2014
-
Improvement of CD-SEM mark position measurement accuracy [9050-94]Kasa, K. / Fukuhara, K. / SPIE (Society) et al. | 2014
-
20nm MOL overlay case study [9050-97]Subramany, L. / Hsieh, M. / Li, C. / Koh, H.P. / Cho, D. / Golotsvan, A. / Ramanathan, V. / Shanmugam, R.K. / Yap, L. / SPIE (Society) et al. | 2014
-
Real-time focus and overlay measurement by the use of fluorescent markers [9050-106]Maas, D. / van Zwet, E. / SPIE (Society) et al. | 2014
-
Dependence of secondary-etectron yield on aspect ratio of several trench patterns [9050-19]Bizen, D. / Sohda, Y. / Kazumi, H. / SPIE (Society) et al. | 2014
-
Parallel SPM cantilever arrays for large area surface metrology and lithography [9050-31]Gotszalk, T. / Ivanov, T. / Rangelow, I.W. / SPIE (Society) et al. | 2014
-
Lithography focus/exposure control and corrections to improve CDU at post etch step [9050-65]Kim, Y.K. / Yelverton, M. / Tristan, J. / Lee, J. / Gutjahr, K. / Hsu, C.-H. / Wei, H. / Wang, L. / Li, C. / Subramany, L. et al. | 2014
-
Defect analysis methodology for contact hole grapho epitaxy DSA [9050-76]Harukawa, R. / Aoki, M. / Cross, A. / Nagaswami, V. / Kawakami, S. / Yamauchi, T. / Tomita, T. / Nagahara, S. / Muramatsu, M. / Kitano, T. et al. | 2014
-
Handling, clamping, and alignment evaluation for multi-beam technology on Matrix1.1 platform [9050-85]Lattard, L. / Pradelles, J. / Vergeer, N. / Slot, E. / Pain, L. / de Jong, E. / Torriani, G. / Pieczulewski, C. / SPIE (Society) et al. | 2014
-
Highly effective and accurate weak point monitoring method for advanced design rule (1x nm) devices [9050-42]Ahn, J. / Seong, S. / Yoon, M. / Park, I.-S. / Kim, H. / Ihm, D. / Chin, S. / Sivaraman, G. / Li, M. / Babulnath, R. et al. | 2014
-
Sidewall roughness and line profile measurement of photoresist and finFET features by cross-section STEM and TEM image for reference metrology [9050-53]Takamasu, K. / Okitou, H. / Takahashi, S. / Inoue, O. / Kawada, H. / Kamineni, V. / Paul, A. / Bello, A.F. / SPIE (Society) et al. | 2014
-
Visualization of Si surface and interface quality by non-contact optical characterization techniques [9050-52]Yoo, W.S. / Kang, K. / Ishigaki, T. / Ueda, T. / SPIE (Society) et al. | 2014
-
Verification metrology system by using inline reference metrology [9050-54]Abe, H. / Ishibashi, Y. / Ida, C. / Hamaguchi, A. / Ikeda, T. / Yamazaki, Y. / SPIE (Society) et al. | 2014
-
The metal ions from track filter and its impact to product yield in IC manufacturing [9050-66]Kuo, T.-C. / SPIE (Society) et al. | 2014
-
Automatically high accurate and efficient photomask defects management solution for advanced lithography manufacture [9050-62]Zhu, J. / Chen, L. / Ma, L. / Li, D. / Jiang, W. / Pan, L. / Shen, H. / Jia, H. / Hsiang, C. / Cheng, G. et al. | 2014
-
Wafer surface pre-treatment study for micro bubble free of lithography process [9050-88]Yang, X. / Zhu, X. / Cai, S. / SPIE (Society) et al. | 2014
-
High-precision self-tool CD matching with focus-target assist pattern by computational ways [9050-108]Kim, S.-M. / Kim, H.-C. / Lee, J.-W. / Kim, Y.-S. / Kim, Y.-H. / Won, S.-K. / Kim, S. / Park, K.-Y. / Ryu, C.-H. / Fan, Q.-T. et al. | 2014
-
Phase shift focus monitor for OAI and high NA immersion scanners [9050-117]Kuo, H.M. / Peng, R.C. / Liu, H.H. / SPIE (Society) et al. | 2014
-
Plasma etched surface scanning inspection recipe creation based on bidirectional reflectance distribution function and polystyrene latex spheres [9050-120]Saldana, T. / McGarvey, S. / Ayres, S. / SPIE (Society) et al. | 2014
-
Improvement of Inter-field CDU by using on-product focus control [9050-26]Park, K.D. / Park, T. / Hwang, J.H. / Choi, J.P. / Kang, Y.S. / SPIE (Society) et al. | 2014
-
Improving on-product performance at litho using integrated diffraction-based metrology and computationally designed device-like targets fit for advanced technologies (incl. FinFET) [9050-27]Chen, K.-H. / Huang, G.T. / Chen, K.S. / Hsieh, C.W. / Chen, Y.C. / Ke, C.M. / Gau, T.S. / Ku, Y.C. / Bhattacharyya, K. / Huang, J. et al. | 2014
-
Investigation on reticle heating effect induced overlay error [9050-38]Lim, M. / Kim, G. / Kim, S. / Lee, B. / Lim, C. / Kim, M. / Park, S. / SPIE (Society) et al. | 2014
-
Nanometrology on gratings with GISAXS: FEM reconstruction and fourier analysis [9050-36]Soltwisch, v. / Wernecke, J. / Haase, A. / Probst, J. / Schoengen, M. / Krumrey, M. / Scholze, F. / SPIE (Society) et al. | 2014
-
Compensating process non-uniformity to improve wafer overlay by RegC [9050-39]Leray, P. / Cheng, S. / Cohen, A. / Graitzer, E. / Dmitriev, V. / Rehtan, S. / Wertsman, N. / SPIE (Society) et al. | 2014
-
High speed optical metrology solution for after etch process monitoring and control [9050-51]Charley, A.-L. / Leray, P. / Pypen, W. / Cheng, S. / Verma, A. / Mattheus, C. / Wisse, B. / Cramer, H. / Niesing, H. / Kruijswijk, S. et al. | 2014
-
Defect analysis and alignment quantification of line arrays prepared by directed self-assembly of a block copolymer [9050-77]Simao, C. / Tuchapsky, D. / Khunsin, W. / Amann, A. / Morris, M.A. / Torres, C.M.S. / SPIE (Society) et al. | 2014
-
Isolation mounts scatterometry with RCWA and PML [9050-91]Shirasaki, H. / SPIE (Society) et al. | 2014
-
Leveraging data analytics, patterning simulations and metrology models to enhance CD metrology accuracy for advanced IC nodes [9050-4]Rana, N. / Zhang, Y. / Kagalwala, T. / Hu, L. / Bailey, T. / SPIE (Society) et al. | 2014
-
Metrology of white light interferometer for TSV processing [9050-14]Timoney, P. / Ko, Y.-U. / Fisher, D. / Lu, C.K. / Ramnath, Y. / Vaid, A. / Thangaraju, S. / Smith, D. / Kamineni, H. / Zhang, D. et al. | 2014
-
Determination of line edge roughness in low dose top-down scanning electron microscopy images (2014 Karel Urbanek Best Student Paper Award) [9050-20]Verduin, T. / Kruit, P. / Hagen, C.W. / SPIE (Society) et al. | 2014
-
Enhanced optical CD metrology by hybridization and azimuthal scatterometry [9050-50]Zangooie, S. / Li, J. / Boinapally, K. / Wilkens, P. / Ver, A. / Khamsepour, B. / Schroder, H. / Piggot, J. / Yedur, S. / Liu, Z. et al. | 2014
-
Integrated ADI optical metrology solution for lithography process control of CD and OV [9050-107]Strobl, M. / Tsai, W. / Lan, A. / Chen, T. / Hsu, W. / Chen, H. / Liang, F. / Wang, A. / Hung, P. / Huang, D. et al. | 2014
-
Macroscopic exploration and visual quality inspection of thin film deposit [9050-87]Desage, S.-F. / Pitard, G. / Favreliere, H. / Pillet, M. / Dellea, O. / Fugier, P. / Coronel, P. / Ollier, E. / SPIE (Society) et al. | 2014
-
Scatterometry performance improvement by parameter and spectrum feed-forward [9050-100]Li, J. / Zangooie, S. / Boinapally, K. / Zou, X. / Hu, J. / Liu, Z. / Yedur, S. / Wilkens, P. / Ver, A. / Cohen, R. et al. | 2014
-
Improved reticle requalification accuracy and efficiency via simulation-powered automated defect classification [9050-112]Paracha, S. / Eynon, B. / Noyes, B.F. / Nhiev, A. / Vacca, A. / Fiekowsky, P. / Fiekowsky, D. / Ham, Y.M. / Uzzel, D. / Green, M. et al. | 2014
-
Lithography run-to-run control in high mix manufacturing environment with a dynamic state estimation approach [9050-25]Yelverton, M.E. / Agrawal, G.K. / SPIE (Society) et al. | 2014
-
Monitoring process-induced overlay errors through high-resolution wafer geometry measurements [9050-37]Turner, K.T. / vukkadala, P. / Veeraraghavan, S. / Sinha, J.K. / SPIE (Society) et al. | 2014
-
Innovative techniques for improving overlay accuracy by using DCM (device correlated metrology) targets as reference [9050-104]Tzai, W.J. / Hsu, S.C.C. / Chen, H. / Chen, C. / Pai, Y.C. / Yu, C.-C. / Lin, C.C. / Itzkovich, T. / Yap, L. / Amit, E. et al. | 2014
-
Focus control budget analysis for critical layers of flash devices [9050-86]Jang, J.H. / Park, T. / Park, K.D. / Hwang, J.H. / Choi, J.P. / Kang, Y.S. / SPIE (Society) et al. | 2014
-
Direct-scatterometry-enabled PEC model calibration with two-dimensional layouts [9050-113]Yang, Y.-Y. / Lee, H.-P. / Liu, C.-H. / Yu, H.-Y. / Tsai, K.-Y. / Li, J.-H. / SPIE (Society) et al. | 2014
-
Implementation of background scattering variance reduction on the rapid nano particle scanner [9050-114]van der Walle, P. / Hannemann, S. / van Eijk, D. / Mulckhuyse, W. / van der Donck, J.C.J. / SPIE (Society) et al. | 2014
-
Tracking detectivity of EUV and SADP processing using bright-field inspection [9050-111]Alexis, N. / Bencher, C. / Chen, Y. / Dai, H. / Dotan, K. / Huang, D. / Nalven, A. / Ngai, C. / Santoro, G. / Vijayaraghavan, B. et al. | 2014
-
Optical technologies for TSV inspection [9050-71]Aiyer, A.A. / Maltsev, N. / Ryu, J. / SPIE (Society) et al. | 2014
-
Contour-based metrology for complex 2D shaped patterns printed by multiple-patterning process [9050-30]Fuchimoto, D. / Ishimoto, T. / Shindo, H. / Sugahara, H. / Toyoda, Y. / Mailfert, J. / De Bisschop, P. / SPIE (Society) et al. | 2014
-
Real-time inspection system utilizing scatterometry pupil data [9050-43]Baek, J.Y. / Leray, P. / Charley, A.-L. / Spanos, C.J. / SPIE (Society) et al. | 2014
-
Design of the phase-shifting algorithm for flatness measurement of a mask blank glass [9050-63]Kim, Y. / Hibino, K. / Sugita, N. / Mitsuishi, M. / SPIE (Society) et al. | 2014
-
Investigation of a methodology for in-film defects detection on film coated blank wafers [9050-73]Kiyotomi, A. / Dauendorffer, A. / Shimura, S. / Miyazaki, S. / Miyagi, T. / Oto, S. / Haneda, K. / Baris, O. / Wei, J. / SPIE (Society) et al. | 2014
-
A method for the combined measurement of volatile and condensable organic AMC in semiconductor applications [9050-83]Miller, C.M. / Zaloga, E.C. / Lobert, J.M. / SPIE (Society) et al. | 2014
-
Metrology of advanced N14 process pattern split at lithography [9050-98]Tzai, W.-J. / Lin, C.-C. / Chen, C.-H. / Yu, C.-C. / Chu, W.-Y. / Yoo, S. / Huang, C.-J. / Cheng, C.-Y. / Su, H.-F. / SPIE (Society) et al. | 2014
-
10nm three-dimensional CD-SEM metrology [9050-9]Vladar, A.E. / Villarrubia, J.S. / Chawla, J. / Ming, B. / Kline, J.R. / List, S. / Postek, M.T. / SPIE (Society) et al. | 2014
-
Addressing FinFET metrology challenges in 1X node using tilt-beam CD-SEM [9050-11]Zhang, X. / Zhou, H. / Ge, Z. / Vaid, A. / Konduparthi, D. / Osorio, C. / Ventola, S. / Meir, R. / Shoval, O. / Kris, R. et al. | 2014
-
Metrology for directed self-assembly block lithography using optical scatterometry [9050-22]Dixit, D. / Kamineni, V. / Farrell, R. / Hosler, E. / Preil, M. / Race, J. / Peterson, B. / Diebold, A.C. / SPIE (Society) et al. | 2014
-
CD-SEM metrology for sub-10nm width features [9050-28]Bunday, B. / Cepler, A. / Cordes, A. / Arceo, A. / SPIE (Society) et al. | 2014
-
Novel in-line metrology methods for Fin pitch walking monitoring in 14nm node and beyond [9050-119]Chao, R. / Kohli, K. / Zhang, Y. / Madan, A. / Muthinti, G.R. / Hong, A.J. / Conklin, D. / Holt, J. / Bailey, T.C. / SPIE (Society) et al. | 2014
-
Impact of shrinking measurement error budgets on qualification metrology sampling and cost [9050-55]Sendelbach, M. / Sarig, N. / Wakamoto, K. / Kim, H.K. / Isbester, P. / Asano, M. / Matsuki, K. / Vaid, A. / Osorio, C. / Archie, C. et al. | 2014
-
An analytical method for the measurement of trace level acidic and basic AMC using liquid-free sample traps [9050-81]Moulton, T.M. / Zaloga, E.C. / Chase, K.M. / Lobert, J.M. / SPIE (Society) et al. | 2014
-
Accurate contour extraction from mask SEM image [9050-93]Santo, I. / Higuchi, A. / Anazawa, M. / Bandoh, H. / SPIE (Society) et al. | 2014
-
Spectroscopic critical dimension technology (SCD) for directed self-assembly [9050-101]Nishibe, S. / Dziura, T. / Nagaswami, V. / Gronheid, R. / SPIE (Society) et al. | 2014
-
Estimating pattern sensitivity to the printing process for varying dose/focus conditions for RET development in the sub-22nm era [9050-24]Seguin, B. / Saab, H. / Gabrani, M. / Estellers, V. / SPIE (Society) et al. | 2014
-
Parallel, miniaturized scanning probe microscope for defect inspection and review [9050-45]Sadeghian, H. / van den Dool, T.C. / Crowcombe, W.E. / Herfst, R.W. / Winters, J. / Kramer, G.F.I.J. / Koster, N.B. / SPIE (Society) et al. | 2014
-
Computational techniques for determining printability of real defects in EUV mask pilot line [9050-47]Morgan, P. / Rost, D. / Price, D. / Li, Y. / Peng, D. / Chen, D. / Hu, P. / Corcoran, N. / Son, D. / Yonenaga, D. et al. | 2014
-
Run time scanner data analysis for HVM lithography process monitoring and stability control [9050-90]Chung, W.J. / Kim, Y.K. / Tristan, J. / Li, C. / Subramany, L. / Kim, J.S. / Riggs, B. / Ramanathan, V. / Karur-Shanmugam, R. / Hoo, G. et al. | 2014
-
Mean offset optimization for multi-patterning overlay using Monte Carlo simulation method [9050-95]Wang, W. / Cui, L. / Sun, L. / Kim, R.-H. / SPIE (Society) et al. | 2014
-
CD uniformity optimization at volume ramp up stage for new product introduction [9050-118]Kim, J.-S. / Ma, W.-K. / Kim, Y.-S. / Kim, M.-S. / Kwon, W.-T. / Park, S.-K. / Nikolsky, P. / Otter, M. / Marun, M.E. / Anunciado, R. et al. | 2014
-
The effect of individually-induced processes on image-based overlay and diffraction-based overlay [9050-57]Oh, S. / Lee, J. / Lee, S. / Hwang, C. / Choi, G. / Kang, H.-K. / Jung, E. / SPIE (Society) et al. | 2014
-
Novel metrology methods for fast 3D characterization of directed self-assembly (DSA) patterns for high volume manufacturing [9050-23]Sarma, C. / Bunday, B. / Cepler, A. / Dziura, T. / Kim, J. / Lin, G. / Yin, J. / SPIE (Society) et al. | 2014
-
Optical volumetric inspection of sub-20nm patterned defects with wafer noise [9050-40]Barness, B.M. / Goasmat, F. / Sohn, M.Y. / Zhou, H. / Vladar, A.E. / Silver, R.M. / Arceo, A. / SPIE (Society) et al. | 2014
-
New inspection technology for observing nanometer size defects using expansion soft template [9050-44]Morita, S. / Yoshikawa, R. / Hirano, T. / Higashiki, T. / SPIE (Society) et al. | 2014
-
Advanced CD-SEM metrology for pattern roughness and local placement of lamellar DSA [9050-123]Kato, T. / Sugiyama, A. / Ueda, K. / Yoshida, H. / Miyazaki, S. / Tsutsumi, T. / Kim, J. / Cao, Y. / Lin, G. / SPIE (Society) et al. | 2014
-
Development of UV inspection system on the defect of electrode for 5μm level multilayer pattern [9050-99]Namgung, K. / Woo, J. / Lim, S. / Lee, S. / Lee, J. / Lim, J. / Kim, B. / Kim, J. / SPIE (Society) et al. | 2014
-
Process control using set-membership vector-form affine projection adaptive filtering scheme [9050-116]Hu, C.-C. / Chen, K.-H. / SPIE (Society) et al. | 2014
-
New techniques in large scale metrology toolset data mining to accelerate integrated chip technology development and increase manufacturing efficiencies [9050-5]Solecky, E. / Rana, N. / Minns, A. / Gustafson, C. / Lindo, P. / Cornell, R. / Llanos, P. / SPIE (Society) et al. | 2014
-
Hybrid metrology universal engine: co-optimization [9050-8]Vaid, A. / Osorio, C. / Tsai, J. / Bozdog, C. / Sendelbach, M. / Grubner, E. / Koret, R. / Wolfling, S. / SPIE (Society) et al. | 2014
-
Correction of EB-induced shrinkage in contour measurements [9050-18]Ohashi, T. / Hotta, S. / Yamaguchi, A. / Tanaka, J. / Kawada, H. / SPIE (Society) et al. | 2014
-
New integrated Monte Carlo code for the simulation of high-resolution scanning electron microscopy images for metrology in microlithography [9050-17]Ilgusatiroglu, E. / Illarionov, A.Y. / Ciappa, M. / Pfaffli, P. / Bomholt, L. / SPIE (Society) et al. | 2014
-
Improving SEM image quality using pixel super resolution technique [9050-29]Lee, M. / Cantone, J. / Xu, J. / Sun, L. / Kim, R. / SPIE (Society) et al. | 2014
-
Weak measurements applied to process monitoring using focused beam scatterometry [9050-49]Brown, T.G. / Alonso, M.A. / Vella, A. / Theisen, M.J. / Head, S.T. / SPIE (Society) et al. | 2014
-
Innovative fast technique for overlay accuracy estimation using archer self calibration (ASC) [9050-103]Hsu, S.C.C. / Chen, C. / Yu, C.C. / Pai, Y.C. / Amit, E. / Yap, L. / Itzkovich, T. / Tien, D. / Huang, E. / Kuo, K.T.L. et al. | 2014
-
Overlay improvements using a real time machine learning algorithm [9050-105]Schmitt-Weaver, E. / Kubis, M. / Henke, W. / Slotboom, D. / Hoogenboom, T. / Mulkens, J. / Coogans, M. / Berge, P.t. / Verkleij, D. / van de Mast, F. et al. | 2014
-
Real cell overlay measurement through design based metrology [9050-58]Yoo, G. / Kim, J. / Park, C. / Lee, T. / Ji, S. / Jo, G. / Yang, H. / Yim, D. / Yamamoto, M. / Maruyama, K. et al. | 2014
-
Evaluation of lens heating effect in high transmission NTD processes at the 20nm technology node [9050-61]Jeon, B. / Lee, S. / Subramany, L. / Li, C. / Pal, S. / Meyers, S. / Mehta, S. / Wei, Y. / Cho, D.R. / SPIE (Society) et al. | 2014
-
Across wafer CD uniformity optimization by wafer film scheme at double patterning lithography process [9050-75]Lin, H.-C. / Li, Y.-L. / WSang, S.-C. / Liu, C.-H. / Wang, Z.-S. / Hsuh, J.-Y. / SPIE (Society) et al. | 2014
-
In cleanroom, sub-ppb real-time monitoring of volatile organic compounds using proton-transfer reaction/time of flight/mass spectrometry [9050-68]Hayeck, N. / Maillot, P. / Vitrani, T. / Pic, N. / Wortham, H. / Gligorovski, S. / Temime-Roussel, B. / Mizzi, A. / Poulet, I. / SPIE (Society) et al. | 2014
-
SEM-contour shape analysis based on circuit structure for advanced systematic defect inspection [9050-102]Toyoda, Y. / Shindo, H. / Hojo, Y. / Fuchimoto, D. / SPIE (Society) et al. | 2014
-
Comparative defect classifications and analysis of Lasertec's M1350 and M7360 [9050-110]Godwin, M. / Balachandran, D. / Tamura, T. / Jia, A. / SPIE (Society) et al. | 2014