Inspection and repair for imprint lithography at 32 nm and below (English)
- New search for: Selinidis, Kosta
- New search for: Thompson, Ecron
- New search for: Sreenivasan, S. V.
- New search for: Resnick, Douglas J.
- New search for: Pritschow, Marcus
- New search for: Butschke, Joerg
- New search for: Irmscher, Mathias
- New search for: Sailer, Holger
- New search for: Dobberstein, Harald
- New search for: Selinidis, Kosta
- New search for: Thompson, Ecron
- New search for: Sreenivasan, S. V.
- New search for: Resnick, Douglas J.
- New search for: Pritschow, Marcus
- New search for: Butschke, Joerg
- New search for: Irmscher, Mathias
- New search for: Sailer, Holger
- New search for: Dobberstein, Harald
In:
Proc. SPIE
;
7379
; 73790N
;
2009
-
ISBN:
-
ISSN:
- Conference paper / Electronic Resource
-
Title:Inspection and repair for imprint lithography at 32 nm and below
-
Contributors:Selinidis, Kosta ( author ) / Thompson, Ecron ( author ) / Sreenivasan, S. V. ( author ) / Resnick, Douglas J. ( author ) / Pritschow, Marcus ( author ) / Butschke, Joerg ( author ) / Irmscher, Mathias ( author ) / Sailer, Holger ( author ) / Dobberstein, Harald ( author )
-
Conference:Photomask and Next-Generation Lithography Mask Technology XVI ; 2009 ; Yokohama,Japan
-
Published in:Proc. SPIE ; 7379 ; 73790N
-
Publisher:
- New search for: SPIE
-
Publication date:2009-05-08
-
ISBN:
-
ISSN:
-
DOI:
-
Type of media:Conference paper
-
Type of material:Electronic Resource
-
Language:English
-
Source:
Table of contents conference proceedings
The tables of contents are generated automatically and are based on the data records of the individual contributions available in the index of the TIB portal. The display of the Tables of Contents may therefore be incomplete.
- 73790A
-
Adhesion control between resist patterns and photomask blank surfacesKurihara, Masaaki / Hatakeyama, Sho / Yoshida, Kouji / Nagai, Takaharu / Totsukawa, Daisuke / Fukuda, Masaharu / Morikawa, Yasutaka / Mohri, Hiroshi / Hoga, Morihisa / Hayashi, Naoya et al. | 2009
- 73790B
-
Investigation of the development process for high-precision patterningWatanabe, Junichi / Yamazaki, Tsukasa / Tanabe, Masahito / Komizo, Toru / Zweber, Amy E. / Smith, Adam C. et al. | 2009
- 73790D
-
Study on surface integrity in photomask resist strip and final cleaning processesSingh, Sherjang / Helbig, Stefan / Dress, Peter / Dietze, Uwe et al. | 2009
- 73790F
-
Haze growth on reticles: What's the RigHT thing to do?McDonald, Steven M. / Chalom, Daniel V. / Green, Michael J. / McMurran, Jeffrey A. / Garrett, Michael B. / Dlouhy, David W. et al. | 2009
- 73790G
-
SEMATECH EUVL mask program statusYun, Henry / Goodwin, Frank / Huh, Sungmin / Orvek, Kevin / Cha, Brian / Rastegar, Abbas / Kearney, Patrick et al. | 2009
- 73790H
-
Actinic EUVL mask blank inspection and phase defect characterizationYamane, Takeshi / Iwasaki, Teruo / Tanaka, Toshihiko / Terasawa, Tsuneo / Suga, Osamu / Tomie, Toshihisa et al. | 2009
- 73790I
-
Improvement of EUVL mask blank inspection capability at IntelMa, Andy / Liang, Ted / Park, Seh-Jin / Zhang, Guojing / Tamura, Tomoya / Omata, Kazunori / Sato, Yuta / Kusunose, Hal et al. | 2009
- 73790J
-
Thorough characterization of an EUV maskMizuno, Hiroyuki / McIntyre, Gregory / Koay, Chiew-seng / Burkhardt, Martin / He, Long / Hartley, John / Johnson, Corbet / Raghunathan, Sudharshanan / Goldberg, Kenneth / Mochi, Iacopo et al. | 2009
- 73790K
-
Nano-pattern design and technology for patterned media magnetic recordingKataoka, Hiroyuki / Hirayama, Yoshiyuki / Albrecht, Thomas R. / Kobayashi, Masahito et al. | 2009
- 73790L
-
Si-mold fabrication for patterned media using high-resolution chemically amplified resistFukuda, Masaharu / Chiba, Tsuyoshi / Ishikawa, Mikio / Itoh, Kimio / Kurihara, Masaaki / Hoga, Morihisa et al. | 2009
- 73790N
-
Inspection and repair for imprint lithography at 32 nm and belowSelinidis, Kosta / Thompson, Ecron / Sreenivasan, S. V. / Resnick, Douglas J. / Pritschow, Marcus / Butschke, Joerg / Irmscher, Mathias / Sailer, Holger / Dobberstein, Harald et al. | 2009
- 73790O
-
Implications of image plane line-edge roughness requirements on extreme ultraviolet mask specificationsNaulleau, Patrick P. / George, Simi A. et al. | 2009
- 73790P
-
Mask-induced aberration in EUV lithographyNakajima, Yumi / Sato, Takashi / Inanami, Ryoichi / Nakasugi, Tetsuro / Higashiki, Tatsuhiko et al. | 2009
- 73790Q
-
Evaluation of an e-beam correction strategy for compensation of EUVL mask non-flatnessOrvek, Kevin / Sohn, Jaewoong / Choi, Jin / Engelstad, Roxann / Raghunathan, Sudharshanan / Zimmerman, John / Laursen, Thomas / Shusuke, Yoshitake / Shoki, Tsutomu et al. | 2009
- 73790R
-
Investigation of EUV mask defectivity via full-field printing and inspection on waferJonckheere, Rik / Van Den Heuvel, Dieter / Iwamoto, Fumio / Stepanenko, Nickolay / Myers, Alan / Lamantia, Matt / Goethals, Anne-Marie / Hendrickx, Eric / Ronse, Kurt et al. | 2009
- 73790T
-
Smart way to determine and guarantee mask specifications: tradeoff between cost and qualityShigemitsu, Fumiaki et al. | 2009
- 73790U
-
Economics of automation for the design-to-mask interfaceErck, Wesley et al. | 2009
- 73790V
-
Novel mask qualification methodology with die-to-database wafer inspection systemHagio, Yoshinori / Nagahama, Ichirota / Matsuoka, Yasuo / Mukai, Hidefumi / Hashimoto, Koji et al. | 2009
- 73790W
-
European MEDEA+ CRYSTAL project: DFM photomasks inputs for EDA workflow task forceBeisser, Eric / Tissier, Michel / Au, David / Bonniol, Stéphane / Garcia, Patrick / Morey-Chaisemartin, Philippe / Sadran, Dominique / Servin, Isabelle / Tabusse, Michel et al. | 2009
- 73790X
-
Reduction of MRC error review time through the simplified and classified MRC resultLee, Casper W. / Lin, Jason C. / Chen, Frank F. et al. | 2009
- 73790Y
-
Multi-core advantages for mask data preparationYeap, Johnny / Nogatch, John et al. | 2009
- 73790Z
-
GPU-accelerated inverse lithography techniqueZhang, Jinyu / Deng, Yangdong / Xiong, Wei / Peng, Yao / Yu, Zhiping et al. | 2009
- 73791A
-
Reduction of resist charging effect by EB reticle writer EBM-7000Saito, Masato / Ugajin, Kunihiro / Ikenaga, Osamu et al. | 2009
- 73791B
-
Mask-LMC: lithographic simulation and defect detection from high-resolution mask imagesChen, George / Wiley, James N. / Wang, Jen-Shiang / Howell, Rafael C. / Bai, Shufeng / Chen, Yi-Fan / Chen, Frank / Cao, Yu / Takigawa, Tadahiro / Kurosawa, Terunobu et al. | 2009
- 73791C
-
Implementation strategy of wafer-plane and aerial-plane inspection for advanced mask manufactureKim, Won-Sun / Chung, Dong-Hoon / Jeon, Chan-Uk / Cho, HanKu / Huang, William / Miller, John / Inderhees, Gregg / Pinto, Becky / Hur, Jiuk / Park, Kihun et al. | 2009
- 73791D
-
Reticle inspection-based critical dimension uniformityVellanki, Venu / Hess, Carl / Pan, Gang / Chen, Chunlin / Inderhees, Gregg / Lopez, Daniel et al. | 2009
- 73791F
-
Mask defect auto disposition based on aerial image in mask productChen, C. Y. / Tuo, Laurent / Yoo, C. S. / Pang, Linyong / Peng, Danping / Sun, Jin et al. | 2009
- 73791G
-
Airborne molecular contamination detection method for photomasks and ultra purging decontaminationKambara, Hisanori / Favre, Arnaud / Davenet, Magali / Rodier, Dan et al. | 2009
- 73791H
-
A new approach to reticle haze defect management in the fabGau, Yeu-Dong / Hsiao, Kevin / Hsu, Wen-Hao / Lu, Yu-Min / Chen, Chun-Chieh / Liu, Chen Min / Van Riet, Mike / Gaspar, Noah / Yu, Chien-Chun / Chan, Phillip et al. | 2009
- 73791I
-
Advances in post AFM repair cleaning of photomask with CO2cryogenic aerosol technologyBowers, Charles / Varghese, Ivin / Balooch, Mehdi / Brandt, Werner et al. | 2009
- 73791J
-
Selective removal of persistent particles with no photomask damageRobinson, Tod / Bozak, Ron / White, Roy / Archuletta, Mike / Lee, David et al. | 2009
- 73791L
-
Comparison of lithographic performance between MoSi binary mask and MoSi attenuated PSMYamana, Mitsuharu / Lamantia, Matthew / Philipsen, Vicky / Wada, Shingo / Nagatomo, Tatsuya / Tonooka, Yoji et al. | 2009
- 73791M
-
Trade-off between inverse lithography mask complexity and lithographic performanceKim, Byung-Gook / Suh, Sung Soo / Kim, Byung-Sung / Woo, Sang-Gyun / Cho, Han-Ku / Tolani, Vikram / Dai, Grace / Irby, Dave / Wang, Kechang / Xiao, Guangming et al. | 2009
- 73791N
-
Double patterning addressing imaging challenges for near- and sub-k1=0.25 node layoutsSeo, Beom-Seok / Kang, Dae-Kwon / Noh, Myung-Soo / Lee, Sung-Ho / Cork, Christopher / LukPat, Gerald / Miloslavsky, Alexander / Li, Xiaohai / Lucas, Kevin / Lee, Sooryong et al. | 2009
- 73791O
-
Novel OPC and DfM methodology for 3D memory deviceTaguchi, Takafumi / Kotani, Toshiya / Mukai, Hidefumi / Mashita, Hiromitsu / Iyanagi, Katsumi / Hashimoto, Koji / Inoue, Soichi et al. | 2009
- 73791P
-
High sensitivity electric field monitoring system for control of field-induced CD degradation in reticles (EFM)Sebald, Thomas / Rider, Gavin et al. | 2009
- 73791Q
-
The art of photomask materials for low-k1-193nm lithographyHashimoto, Masahiro / Iwashita, Hiroyuki / Mitsui, Hideaki et al. | 2009
- 73791R
-
Evaluation of the flatness effects of mask backing and orientation during photomask pellicle mountMizoguchi, Takashi / Akutagawa, Satoshi / Barrett, Monica / Caterer, Michael / Nolan, Robert / Racette, Kenneth / Plouffe, Dennis / Zhou, Nancy et al. | 2009
- 73791S
-
Evaluation for EAPSM life time by ArF pellicle characteristicSeo, Kang Joon / Ryu, Ji Sun / Jeong, Goo Min / Kang, Shin Cheol / Kim, Yong Dae / Kim, Sang Chul / Kim, Chang Yeol et al. | 2009
- 73791T
-
In situ selectivity monitor for dry etch of photomasksZhou, Tiecheng / Chen, Jeff / Grimbergen, Michael / Chandrachood, Madhavi / Ibrahim, Ibrahim / Kumar, Ajay et al. | 2009
- 73791U
-
Plasma optical emission analysis for chamber condition monitorMao, Zhigang / Zhou, Tiecheng / Grimbergen, Michael / Bivens, Darin / Knick, David / Koch, Renee / Chandrachood, Madhavi / Chen, Jeff / Ibrahim, Ibrahim / Kumar, Ajay et al. | 2009
- 73791V
-
Fine pattern fabrication property of binary mask and attenuated phase shift maskYamazaki, Taichi / Kojima, Yosuke / Yamana, Mitsuharu / Haraguchi, Takashi / Tanaka, Tsuyoshi et al. | 2009
- 73791W
-
Applied analytics on EAPSM Cr plasma etch optimization utilizing design of experimentTian, Ming Jing / Wang, Eugene / Zhu, Zhen Hua et al. | 2009
- 73791X
-
Study of electric-field-induced-development methodTerayama, Masatoshi / Sakurai, Hideaki / Sakai, Mari / Ito, Masamitsu / Ikenaga, Osamu / Funakoshi, Hideo / Shiozawa, Takahiro / Miyazaki, Syoutarou / Saito, Yoshihiko / Hayashi, Naoya et al. | 2009
- 73791Y
-
Two-fluid cleaning technology for advanced photomaskKikuchi, Tsutomu / Kobayashi, Nobuo / Kurokawa, Yoshiaki / Hirose, Harumichi / Nonaka, Mikio et al. | 2009
- 73791Z
-
Improvement of data transfer speed and development of an EB data verification system in a VSB mask writerWakimoto, Osamu / Manabe, Hironobu / Hoshi, Hiromichi / Samoto, Norihiko / Komagata, Tadashi / Nakagawa, Yasutoshi / Yamabe, Masaki et al. | 2009
- 73792A
-
A study of mask inspection method with pattern priority and printability checkTokita, Masakazu / Tsuchiya, Hideo / Inoue, Takafumi / Inoue, Tadao / Yamabe, Masaki et al. | 2009
- 73792B
-
TeraScanXR: a high sensitivity and throughput photomask inspection systemMu, Bo / Dayal, Aditya / Goonesekera, Arosha / Lim, Phillip / Chen, Chunlin / Liu, Po / Yeung, Kevin / Pinto, Becky / Broadbent, Bill / Inderhees, Gregg et al. | 2009
- 73792C
-
A noble evaluation method for repaired area utilizing SEM imagesMorishita, Keiko / Kanamitsu, Shingo / Hirano, Takashi et al. | 2009
- 73792D
-
Semi-automated repair verification of aerial imagesPoortinga, Eric / Schereubl, Thomas / Richter, Rigo et al. | 2009
- 73792G
-
Novel absorber materials for EUV lithography maskMatsuo, Tadashi / Kanayama, Koichiro / Okumoto, Yasuhiro et al. | 2009
- 73792H
-
The impact of mask design on EUV imagingSchmoeller, Thomas / Tyminski, Jacek K. / Lewellen, John / Demmerle, Wolfgang et al. | 2009
- 73792I
-
Study of CD variation at EUV mask fabrication occurred by electric conduction from top to back sideTakai, Kosuke / Murano, Koji / Hagihara, Kazuki / Itoh, Masamitsu / Abe, Tsukasa / Adachi, Takashi / Akizuki, Hideo / Takikawa, Tadahiko / Mohri, Hiroshi / Hayashi, Naoya et al. | 2009
- 73792J
-
Actinic mask inspection using an extreme ultraviolet microscopeTakase, Kei / Kamaji, Yoshito / Iguchi, Takafumi / Sugiyama, Takashi / Uno, Toshiyuki / Harada, Tetsuo / Watanabe, Takeo / Kinoshita, Hiroo et al. | 2009
- 73792K
-
Evaluation of EUVL mask pattern defect inspection using 199nm inspection tool with super-resolution methodShigemura, Hiroyuki / Amano, Tsuyoshi / Nishiyama, Yasushi / Suga, Osamu / Arisawa, Yukiyasu / Hashimoto, Hideaki / Takahara, Kenichi / Usuda, Kinya / Kikuiri, Nobutaka / Hirano, Ryoichi et al. | 2009
- 73792L
-
FIB mask repair technology for EUV maskAmano, Tsuyoshi / Nishiyama, Yasushi / Shigemura, Hiroyuki / Terasawa, Tsuneo / Suga, Osamu / Shiina, Kensuke / Aramaki, Fumio / Yasaka, Anto / Abe, Tsukasa / Mohri, Hiroshi et al. | 2009
- 73792O
-
Go proton: investigation on mask patterning for the 22nm hp node using a ML2 multibeam systemButschke, Joerg / Irmscher, Mathias / Sailer, Holger / Loeschner, Hans / Platzgummer, Elmar et al. | 2009
- 73792Q
-
Developing quartz wafer mold manufacturing process for patterned mediaChiba, Tsuyoshi / Fukuda, Masaharu / Ishikawa, Mikio / Itoh, Kimio / Kurihara, Masaaki / Hoga, Morihisa et al. | 2009
- 73792S
-
Progress of UV-NIL template makingHiraka, Takaaki / Mizuochi, Jun / Nakanishi, Yuko / Yusa, Satoshi / Sasaki, Shiho / Morikawa, Yasutaka / Mohri, Hiroshi / Hayashi, Naoya et al. | 2009
- 73792T
-
Model-based assist feature insertion for sub-40nm memory deviceSuh, Sungsoo / Lee, Suk-joo / Choi, Seong-woon / Lee, Sung-Woo / Park, Chan-hoon et al. | 2009
- 73792Y
-
Optimizing computing resources for optimal throughput in a mask data preparation flowZhang, Weidong / Bennett, Ron / Ghosh, Pradiptya / Schulze, Steffen / Bowhill, Amanda et al. | 2009
- 73792Z
-
Model-based assist featuresYenikaya, Bayram / Alexandrov, Oleg / Chen, Steven / Liu, Anwei / Mokhberi, Ali / Sezginer, Apo et al. | 2009
- 737901
-
Front Matter: Volume 7379| 2009
- 737902
-
Litho/mask strategies for 32-nm half-pitch and beyond: using established and adventurous tools/technologies to improve cost and imaging performanceLin, Burn J. et al. | 2009
- 737906
-
The novel plasma etching process for defect reduction in photomask fabricationLee, Ji-Hyun / Jang, Il-Yong / Jeong, Yu Suk / Seung, Byounghoon / Moon, Seong-Yong / Woo, Sang-Gyun / Cho, Han Ku et al. | 2009
- 737907
-
Etch characterization of binary mask dependence on mask material and resist thickness for 22nm mask fabricationNemoto, Satoru / Faure, Thomas / Wistrom, Richard / Crawford, Shaun / Reid, Gary / Bartlau, Peter / Komizo, Toru / Zweber, Amy E. et al. | 2009
- 737909
-
Effect of pellicle frame and adhesive material on final photomask flatnessZhou, Nancy / Racette, Ken / Hasselbeck, David / Barrett, Monica / Nolan, Robert / Caterer, Michael / Mizoguchi, Takashi / Akutagawa, Satoshi / Dickey, Glenn / Shirasaki, Toru et al. | 2009
- 737910
-
Effect of scanner illumination and lens transmittance signatures on OPC accuracyHuang, Hsu-Ting / Sezginer, Apo / Tyminski, Jacek K. et al. | 2009
- 737911
-
Lithography compliance check considering neighboring cell structures for robust cell designMiyairi, Masahiro / Nojima, Shigeki / Maeda, Shimon / Kodera, Katsuyoshi / Ogawa, Ryuji / Tanaka, Satoshi et al. | 2009
- 737912
-
The imaging performance of flash memory masks characterized with AIMSvan Setten, Eelco / Wismans, Onno / Grim, Kees / Finders, Jo / Dusa, Mircea / Birkner, Robert / Richter, Rigo / Scherübl, Thomas et al. | 2009
- 737913
-
A new optical measurement method for verifying the exact change of thin films on the QZ blanks with ellipsometerMoon, Songbae / Kim, Seong-Yoon / Bang, Gyung-Yoon / Kim, Byung-Gook / Woo, Sang-Gyun / Cho, Han-ku et al. | 2009
- 737914
-
Calibration strategies for precision stages in state-of-the-art registration metrologyHuebel, Alexander / Schellhorn, Uwe / Arnz, Michael / Klose, Gerd / Beyer, Dirk et al. | 2009
- 737915
-
In-die mask registration metrology for 32nm node DPT lithographyRoeth, Klaus-Dieter / Laske, Frank / Heiden, Michael / Adam, Dieter / Boesser, Artur / Rinn, Klaus / Schepp, André / Bender, Jochen et al. | 2009
- 737916
-
Phase behavior through pitch and duty cycle and its impact on process windowButtgereit, Ute / Birkner, Robert / Seidel, Dirk / Perlitz, Sascha / Philipsen, Vicky / De Bisschop, Peter et al. | 2009
- 737917
-
E-beam shot count estimation at 32 nm HP and beyondChoi, Jin / Lee, Sang Hee / Nam, Dongseok / Kim, Byung Gook / Woo, Sang-Gyun / Cho, Han Ku et al. | 2009
- 737918
-
Present status of multi-column cell exposure system for mask writingYasuda, Hiroshi / Yamada, Akio / Yamabe, Masaki et al. | 2009
- 737919
-
Mask and wafer evaluation of Sigma7500 pattern generator applied to 65nm logic metal and via layersLiu, Frank / Shi, Irene / Liu, Qingwei / Zhu, Likeit / Zhao, Shirley / Guo, Eric et al. | 2009
- 737921
-
Quantification of electron-beam proximity effects using a virtual direct write environmentSchulz, Martin / Brooker, Peter / Zepka, Alex / Meyers, Gary et al. | 2009
- 737922
-
An evaluation of a new side-wall-angle measurement technique for mask patterns by CD-SEMHakii, Hidemitsu / Yonekura, Isao / Kawashita, Masashi / Kojima, Yosuke / Sakamoto, Yoshifumi / Tanaka, Keishi et al. | 2009
- 737924
-
CD performance evaluation according to advanced marking parameterYoon, Suk-Ky / Kim, Seung-Yun / Yeon, Kyoeong-Mee / Lim, Chan / Choi, Sung-Jin / Kim, Ja-Hwa / Kim, Lee-Ju / Cho, Young-Rok / Kim, Hong-Seok et al. | 2009
- 737925
-
Phase-shift/transmittance measurements in a micro pattern using MPM193EXNozawa, Hiroto / Ishida, Takayuki / Kato, Satoru / Sato, Osamu / Miyazaki, Koji / Takehisa, Kiwamu / Awamura, Naoki / Takizawa, Hideo / Kusunose, Hal et al. | 2009
- 737927
-
An open-architecture approach to defect analysis software for mask inspection systemsPereira, Mark / Pai, Ravi R. / Reddy, Murali Mohan / Krishna, Ravi M. et al. | 2009
- 737928
-
The study of defect detection method for 32nm technology node and beyondSeki, Kazunori / Shibita, Masafumi / Akima, Shinji et al. | 2009
- 737929
-
Variable sensitivity detection (VSD) technology for screening SRAF nuisance defectsYamashita, Kyoji / Harabe, Nobuyuki / Hirono, Masatoshi / Tamura, Yukio / Isomura, Ikunao / Tsuji, Yoshitake / Matsumoto, Eiji et al. | 2009
- 737930
-
Improvement of simulation accuracy using a non Gaussian kernelFutatsuya, Hiroki / Yamamoto, Tomohiko / Yoshikawa, Satoshi / Chijimatsu, Tatsuo / Asai, Satoru et al. | 2009
- 737931
-
Evaluation of mask data format standard OASIS.MASK developed for mask toolsSuzuki, Toshio / Nagaoka, Yoshinori / Maenaka, Yumiko / Vellanki, Venu / Ruch, Wayne / Mori, Masayoshi / Hattori, Keiko / Hosono, Kunihiro / Narukawa, Shogo / Hoga, Morihisa et al. | 2009
- 737932
-
Utilization of design intent information for mask manufacturing (II)Kato, Kokoro / Endo, Masakazu / Inoue, Tadao / Yamabe, Masaki et al. | 2009
- 737933
-
Study of the pattern categorization method in verification of OPC patternNaoe, Mitsufumi / Miyauchi, Toru / Makino, Seiji / Suzuki, Koichi / Oseki, Masakazu / Okada, Tomoyuki et al. | 2009
- 737934
-
Organized DFMSato, Takashi / Honma, Michio / Itoh, Hiroyuki / Iriki, Nobuyuki / Kobayashi, Sachiko / Miyazaki, Norihiko / Onodera, Toshio / Suzuki, Hiroyuki / Yoshioka, Nobuyuki / Arima, Sumika et al. | 2009
- 737935
-
Automated reticle inspection data analysis for wafer fabsSummers, Derek / Chen, Gong / Reese, Bryan / Hutchinson, Trent / Liesching, Marcus / Ying, Hai / Dover, Russell et al. | 2009
- 737935
-
Automated reticle inspection data analysis for wafer fabs [7379-113]Summers, D. / Chen, G. / Reese, B. / Hutchinson, T. / Liesching, M. / Ying, H. / Dover, R. / SPIE (Society) et al. | 2009
-
Effect of pellicle frame and adhesive material on final photomask flatness [7379-08]Zhou, N. / Racette, K. / Hasselbeck, D. / Barrett, M. / Nolan, R. / Caterer, M. / Mizoguchi, T. / Akutagawa, S. / Dickey, G. / Shirasaki, T. et al. | 2009
-
Haze growth on reticles: What's the RigHT thing to do? [7379-14]McDonald, S.M. / Chalom, D.V. / Green, M.J. / McMurran, J.A. / Garrett, M.B. / Dlouhy, D.W. / SPIE (Society) et al. | 2009
-
Mask-induced aberration in EUV lithography [7379-24]Nakajima, Y. / Sato, T. / Inanami, R. / Nakasugi, T. / Higashiki, T. / SPIE (Society) et al. | 2009
-
The imaging performance of flash memory masks characterized with AIMS (Invited Paper) [7379-37]van Setten, E. / Wismans, O. / Grim, K. / Finders, J. / Dusa, M. / Birkner, R. / Richter, R. / Scherubl, T. / SPIE (Society) et al. | 2009
-
Reduction of resist charging effect by EB reticle writer EBM-7000 [7379-45]Saito, M. / Ugajin, K. / Ikenaga, O. / SPIE (Society) et al. | 2009
-
Trade-off between inverse lithography mask complexity and lithographic performance [7379-57]Kim, B.-G. / Suh, S.S. / Kim, B.S. / Woo, S.-G. / Cho, H.K. / Tolani, V. / Dai, G. / Irby, D. / Wang, K. / Xiao, G. et al. | 2009
-
Evaluation for EAPSM life time by ArF pellicle characteristic [7379-62]Seo, K.J. / Ryu, J.S. / Jeong, G.M. / Kang, S.C. / Kim, Y.D. / Kim, S.C. / Kim, C.Y. / SPIE (Society) et al. | 2009
-
Fine pattern fabrication property of binary mask and attenuated phase shift mask [7379-65]Yamazaki, T. / Kojima, Y. / Yamana, M. / Haraguchi, T. / Tanaka, T. / SPIE (Society) et al. | 2009
-
A noble evaluation method for repaired area utilizing SEM images [7379-84]Morishita, K. / Kanamitsu, S. / Hirano, T. / SPIE (Society) et al. | 2009
-
Multi-core advantages for mask data preparation [7379-33]Yeap, J. / Nogatch, J. / SPIE (Society) et al. | 2009
-
Selective removal of persistent particles with no photomask damage [7379-54]Robinson, T. / Bozak, R. / White, R. / Archuletta, M. / Lee, D. / SPIE (Society) et al. | 2009
-
The art of photomask materials for low-k1-193nm lithography [7379-114]Hashimoto, M. / Iwashita, H. / Mitsui, H. / SPIE (Society) et al. | 2009
-
An open-architecture approach to defect analysis software for mask inspection systems [7379-79]Pereira, M. / Pai, R.R. / Reddy, M.M. / Krishna, R.M. / SPIE (Society) et al. | 2009
-
Evaluation of EUVL mask pattern defect inspection using 199nm inspection tool with super-resolution method [7379-92]Shigemura, H. / Amano, T. / Nishiyama, Y. / Suga, O. / Arisawa, Y. / Hashimoto, H. / Takahara, K. / Usuda, K. / Kikuiri, N. / Hirano, R. et al. | 2009
-
Go proton: investigation on mask patterning for the 22nm hp node using a ML2 multibeam system [7379-96]Butschke, J. / Irmscher, M. / Sailer, H. / Loeschner, H. / Platzgummer, E. / SPIE (Society) et al. | 2009
-
The novel plasma etching process for defect reduction in photomask fabrication [7379-05]Lee, J.-H. / Jang, I.-Y. / Jeong, Y.S. / Seung, B. / Moon, S.-Y. / Woo, S.-G. / Cho, H.K. / SPIE (Society) et al. | 2009
-
Novel mask qualification methodology with die-to-database wafer inspection system [7379-30]Hagio, Y. / Nagahama, I. / Matsuoka, Y. / Mukai, H. / Hashimoto, K. / SPIE (Society) et al. | 2009
-
Implementation strategy of wafer-plane and aerial-plane inspection for advanced mask manufacture [7379-47]Kim, W.-S. / Chung, D.-H. / Jeon, C.-U. / Cho, H. / Huang, W. / Miller, J. / Inderhees, G. / Pinto, B. / Hur, J. / Park, K. et al. | 2009
-
Applied analytics on EAPSM Cr plasma etch optimization utilizing design of experiment [7379-67]Tian, M.J. / Wang, E. / Zhu, Z.H. / SPIE (Society) et al. | 2009
-
CD performance evaluation according to advanced marking parameter [7379-75]Yoon, S.-K. / Kim, S.-Y. / Yeon, K.-M. / Lim, C. / Choi, S.-J. / Kim, J.-H. / Kim, L.-J. / Cho, Y.-R. / Kim, H.-S. / SPIE (Society) et al. | 2009
-
Progress of UV-NIL template making [7379-100]Hiraka, T. / Mizuochi, J. / Nakanishi, Y. / Yusa, S. / Sasaki, S. / Morikawa, Y. / Mohri, H. / Hayashi, N. / SPIE (Society) et al. | 2009
-
Utilization of design intent information for mask manufacturing (II) [7379-110]Kato, K. / Endo, M. / Inoue, T. / Yamabe, M. / SPIE (Society) et al. | 2009
-
Lithography compliance check considering neighboring cell structures for robust cell design [7379-36]Miyairi, M. / Nojima, S. / Maeda, S. / Kodera, K. / Ogawa, R. / Tanaka, S. / SPIE (Society) et al. | 2009
-
Phase behavior through pitch and duty cycle and its impact on process window [7379-41]Buttgereit, U. / Birkner, R. / Seidel, D. / Perlitz, S. / Philipsen, V. / De Bisschop, P. / SPIE (Society) et al. | 2009
-
In situ selectivity monitor for dry etch of photomasks [7379-63]Zhou, T. / Chen, J. / Grimbergen, M. / Chandrachood, M. / Ibrahim, I. / Kumar, A. / SPIE (Society) et al. | 2009
-
Variable sensitivity detection (VSD) technology for screening SRAF nuisance defects [7379-81]Yamashita, K. / Harabe, N. / Hirono, M. / Tamura, Y. / Isomura, I. / Tsuji, Y. / Matsumoto, E. / SPIE (Society) et al. | 2009
-
The impact of mask design on EUV imaging [7379-89]Schmoeller, T. / Tyminski, J.K. / Lewellen, J. / Demmerle, W. / SPIE (Society) et al. | 2009
-
FIB mask repair technology for EUV mask [7379-93]Amano, T. / Nishiyama, Y. / Shigemura, H. / Terasawa, T. / Suga, O. / Shiina, K. / Aramaki, F. / Yasaka, A. / Abe, T. / Mohri, H. et al. | 2009
-
Nano-pattern design and technology for patterned media magnetic recording (Invited Paper) [7379-19]Kataoka, H. / Hirayama, Y. / Albrecht, T.R. / Kobayashi, M. / SPIE (Society) et al. | 2009
-
Evaluation of an e-beam correction strategy for compensation of EUVL mask non-flatness [7379-25]Orvek, K. / Sohn, J. / Choi, J. / Engelstad, R. / Raghunathan, S. / Zimmerman, J. / Laursen, T. / Shusuke, Y. / Shoki, T. / SPIE (Society) et al. | 2009
-
European MEDEA+ CRYSTAL project: DFM photomasks inputs for EDA workflow task force (Invited Paper) [7379-31]Beisser, E. / Tissier, M. / Au, D. / Bonniol, S. / Garcia, P. / Morey-Chaisemartin, P. / Sadran, D. / Servin, I. / Tabusse, M. / SPIE (Society) et al. | 2009
-
A new optical measurement method for verifying the exact change of thin films on the QZ blanks with ellipsometer [7379-73]Moon, S. / Kim, S.-Y. / Bang, G.-Y. / Kim, B.-G. / Woo, S.-G. / Cho, H. / SPIE (Society) et al. | 2009
-
Mask-LMC: lithographic simulation and defect detection from high-resolution mask images [7379-46]Chen, G. / Wiley, J.N. / Wang, J.-S. / Howell, R.C. / Bai, S. / Chen, Y.-F. / Chen, F. / Cao, Y. / Takigawa, T. / Kurosawa, T. et al. | 2009
-
Reticle inspection-based critical dimension uniformity [7379-77]Vellanki, V. / Hess, C. / Pan, G. / Chen, C. / Inderhees, G. / Lopez, D. / SPIE (Society) et al. | 2009
-
Study of CD variation of EUV mask fabrication occurred by electric conduction from top to back side [7379-90]Takai, K. / Murano, K. / Hagihara, K. / Itoh, M. / Abe, T. / Adachi, T. / Akizuki, H. / Takikawa, T. / Mohri, H. / Hayashi, N. et al. | 2009
-
Developing quartz wafer mold manufacturing process for patterned media [7379-98]Chiba, T. / Fukuda, M. / Ishikawa, M. / Itoh, K. / Kurihara, M. / Hoga, M. / SPIE (Society) et al. | 2009
-
Improvement of simulation accuracy using a non Gaussian kernel [7379-116]Futatsuya, H. / Yamamoto, T. / Yoshikawa, S. / Chijimatsu, T. / Asai, S. / SPIE (Society) et al. | 2009
-
Implications of image plane line-edge roughness requirements on extreme ultraviolet mask specifications (Invited Paper) [7379-23]Naulleau, P.P. / George, S.A. / SPIE (Society) et al. | 2009
-
Present status of multi-column cell exposure system for mask writing [7379-43]Yasuda, H. / Yamada, A. / Yamabe, M. / SPIE (Society) et al. | 2009
-
Mask and wafer evaluation of Sigma7500 pattern generator applied to 65nm logic metal and via layers [7379-44]Liu, F. / Shi, I. / Liu, Q. / Zhu, L. / Zhao, S. / Guo, E. / SPIE (Society) et al. | 2009
-
Phase-shift/transmittance measurements in a micro pattern using MPM193EX [7379-76]Nozawa, H. / Ishida, T. / Kato, S. / Sato, O. / Miyazaki, K. / Takehisa, K. / Awamura, N. / Takizawa, H. / Kusunose, H. / SPIE (Society) et al. | 2009
-
Optimizing computing resources for optimal throughput in a mask data preparation flow [7379-107]Zhang, W. / Bennett, R. / Ghosh, P. / Schulze, S. / Bowhill, A. / SPIE (Society) et al. | 2009
-
Etch characterization of binary mask dependence on mask material and resist thickness for 22nm mask fabrication [7379-06]Nemoto, S. / Faure, T. / Wistrom, R. / Crawford, S. / Reid, G. / Bartlau, P. / Komizo, T. / Zweber, A.E. / SPIE (Society) et al. | 2009
-
Investigation of the development process for high-precision patterning [7379-10]Watanabe, J. / Yamazaki, T. / Tanabe, M. / Komizo, T. / Zweber, A.E. / Smith, A.C. / SPIE (Society) et al. | 2009
-
Economics of automation for the design-to-mask interface [7379-29]Erck, W. / SPIE (Society) et al. | 2009
-
Reduction of MRC error review time through the simplified and classified MRC result [7379-32]Lee, C.W. / Lin, J.C. / Chen, F.F. / SPIE (Society) et al. | 2009
-
Mask defect auto disposition based on aerial image in mask production [7379-50]Chen, C.Y. / Tuo, L. / Yoo, C.S. / Pang, L. / Peng, D. / Sun, J. / SPIE (Society) et al. | 2009
-
Evaluation of mask data format standard OASIS.MASK developed for mask tools [7379-109]Suzuki, T. / Nagaoka, Y. / Maenaka, Y. / Vellanki, V. / Ruch, W. / Mori, M. / Hattori, K. / Hosono, K. / Narukawa, S. / Hoga, M. et al. | 2009
-
SEMATECH EUVL mask program status (Invited Paper) [7379-15]Yun, H. / Goodwin, F. / Huh, S. / Orvek, K. / Cha, B. / Rastegar, A. / Kearney, P. / SPIE (Society) et al. | 2009
-
Si-mold fabrication for patterned media using high-resolution chemically amplified resist [7379-20]Fukuda, M. / Chiba, T. / Ishikawa, M. / Itoh, K. / Kurihara, M. / Hoga, M. / SPIE (Society) et al. | 2009
-
GPU-accelerated inverse lithography technique [7379-34]Zhang, J. / Deng, Y. / Xiong, W. / Peng, Y. / Yu, Z. / SPIE (Society) et al. | 2009
-
A new approach to reticle haze defect management in the fab [7379-52]Gau, Y.-D. / Hsiao, K. / Hsu, W.-H. / Lu, Y.-M. / Chen, C.-C. / Liu, C.M. / Van Riet, M. / Gaspar, N. / Yu, C.-C. / Chan, P. et al. | 2009
-
Novel OPC and DfM methodology for 3D memory device [7379-59]Taguchi, T. / Kotani, T. / Mukai, H. / Mashita, H. / Iyanagi, K. / Hashimoto, K. / Inoue, S. / SPIE (Society) et al. | 2009
-
Quantification of electron-beam proximity effects using a virtual direct write environment [7379-72]Schulz, M. / Brooker, P. / Zepka, A. / Meyers, G. / SPIE (Society) et al. | 2009
-
Actinic mask inspection using an extreme ultraviolet microscope [7379-91]Takase, K. / Kamaji, Y. / Iguchi, T. / Sugiyama, T. / Uno, T. / Harada, T. / Watanabe, T. / Kinoshita, H. / SPIE (Society) et al. | 2009
-
Litho/mask strategies for 32-nm half-pitch and beyond: using established and adventurous tools/technologies to improve cost and imaging performance (Keynote Paper) [7379-01]Lin, B.J. / SPIE (Society) et al. | 2009
-
Study on surface integrity in photomask resist strip and final cleaning processes [7379-12]Singh, S. / Helbig, S. / Dress, P. / Dietze, U. / SPIE (Society) et al. | 2009
-
Effect of scanner illumination and lens transmittance signatures on OPC accuracy [7379-35]Huang, H.-T. / Sezginer, A. / Tyminski, J.K. / SPIE (Society) et al. | 2009
-
In-die mask registration metrology for 32nm node DPT lithography [7379-40]Roeth, K.-D. / Laske, F. / Heiden, M. / Adam, D. / Boesser, A. / Rinn, K. / Schepp, A. / Bender, J. / SPIE (Society) et al. | 2009
-
Plasma optical emission analysis for chamber condition monitor [7379-64]Mao, Z. / Zhou, T. / Grimbergen, M. / Bivens, D. / Knick, D. / Koch, R. / Chandrachood, M. / Chen, J. / Ibrahim, I. / Kumar, A. et al. | 2009
-
Two-fluid cleaning technology for advanced photomask [7379-115]Kikuchi, T. / Kobayashi, N. / Kurokawa, Y. / Hirose, H. / Nonaka, M. / SPIE (Society) et al. | 2009
-
A study of mask inspection method with pattern priority and printability check [7379-82]Tokita, M. / Tsuchiya, H. / Inoue, T. / Yamabe, M. / SPIE (Society) et al. | 2009
-
Study of the pattern categorization method in verification of OPC pattern [7379-111]Naoe, M. / Miyauchi, T. / Makino, S. / Suzuki, K. / Oseki, M. / Okada, T. / SPIE (Society) et al. | 2009
-
Actinic EUVL mask blank inspection and phase defect characterization [7379-16]Yamane, T. / Iwasaki, T. / Tanaka, T. / Terasawa, T. / Suga, O. / Tomie, T. / SPIE (Society) et al. | 2009
-
Investigation of EUV mask defectivity via full-field printing and inspection on wafer [7379-26]Jonckheere, R. / Van Den Heuvel, D. / Iwamoto, F. / Stepanenko, N. / Myers, A. / Lamantia, M. / Goethals, A.-M. / Hendrickx, E. / Ronse, K. / SPIE (Society) et al. | 2009
-
Smart way to determine and guarantee mask specifications: trade-off between cost and quality (Invited Paper) [7379-28]Shigemitsu, F. / SPIE (Society) et al. | 2009
-
Calibration strategies for precision stages in state-of-the-art registration metrology [7379-39]Huebel, A. / Schellhorn, U. / Arnz, M. / Klose, G. / Beyer, D. / SPIE (Society) et al. | 2009
-
Improvement of data transfer speed and development of an EB data verification system in a VSB mask writer [7379-70]Wakimoto, O. / Manabe, H. / Hoshi, H. / Samoto, N. / Komagata, T. / Nakagawa, Y. / Yamabe, M. / SPIE (Society) et al. | 2009
-
Novel absorber materials for EUV lithography mask [7379-88]Matsuo, T. / Kanayama, K. / Okumoto, Y. / SPIE (Society) et al. | 2009
-
Model-based assist feature insertion for sub-40nm memory device [7379-101]Suh, S. / Lee, S. / Choi, S. / Lee, S.-W. / Park, C. / SPIE (Society) et al. | 2009
-
Organized DFM [7379-112]Sato, T. / Honma, M. / Itoh, H. / Iriki, N. / Kobayashi, S. / Miyazaki, N. / Onodera, T. / Suzuki, H. / Yoshioka, N. / Arima, S. et al. | 2009
-
Adhesion control between resist patterns and photomask blank surfaces [7379-09]Kurihara, M. / Hatakeyama, S. / Yoshida, K. / Nagai, T. / Totsukawa, D. / Fukuda, M. / Morikawa, Y. / Mohri, H. / Hoga, M. / Hayashi, N. et al. | 2009
-
Improvement of EUVL mask blank inspection capability at Intel [7379-17]Ma, A. / Liang, T. / Park, S.-J. / Zhang, G. / Tamura, T. / Omata, K. / Sato, Y. / Kusunose, H. / SPIE (Society) et al. | 2009
-
Thorough characterization of an EUV mask [7379-18]Mizuno, H. / McIntyre, G. / Koay, C. / Burkhardt, M. / He, L. / Hartley, J. / Johnson, C. / Raghunathan, S. / Goldberg, K. / Mochi, I. et al. | 2009
-
Airborne molecular contamination detection method for photomasks and ultra purging decontamination [7379-51]Kambara, H. / Favre, A. / Davenet, M. / Rodier, D. / SPIE (Society) et al. | 2009
-
Double patterning addressing imaging challenges for near- and sub-k~1=0.25 node layouts [7379-58]Seo, B.-S. / Kang, D.-K. / Noh, M.-S. / Lee, S.-H. / Cork, C. / LukPat, G. / Miloslavsky, A. / Li, X. / Lucas, K. / Lee, S. et al. | 2009
-
Evaluation of the flatness effects of mask backing and orientation during photomask pellicle mount [7379-61]Mizoguchi, T. / Akutagawa, S. / Barrett, M. / Caterer, M. / Nolan, R. / Racette, K. / Plouffe, D. / Zhou, N. / SPIE (Society) et al. | 2009
-
The study of defect detection method for 32nm technology node and beyond [7379-80]Seki, K. / Shibita, M. / Akima, S. / SPIE (Society) et al. | 2009
-
Semi-automated repair verification of aerial images [7379-85]Poortinga, E. / Schereubl, T. / Richter, R. / SPIE (Society) et al. | 2009
-
Model-based assist features [7379-108]Yenikaya, B. / Alexandrov, O. / Chen, S. / Liu, A. / Mokhberi, A. / Sezginer, A. / SPIE (Society) et al. | 2009
-
Inspection and repair for imprint lithography at 32 nm and below [7379-22]Selinidis, K. / Thompson, E. / Sreenivasan, S.V. / Resnick, D.J. / Pritschow, M. / Butschke, J. / Irmscher, M. / Sailer, H. / Dobberstein, H. / SPIE (Society) et al. | 2009
-
E-beam shot count estimation at 32 nm HP and beyond [7379-42]Choi, J. / Lee, S.H. / Nam, D. / Kim, B.G. / Woo, S.-G. / Cho, H.K. / SPIE (Society) et al. | 2009
-
Advances in post AFM repair cleaning of photomask with CO~2 cryogenic aerosol technology [7379-53]Bowers, C. / Varghese, I. / Balooch, M. / Brandt, W. / SPIE (Society) et al. | 2009
-
Comparison of lithographic performance between MoSi binary mask and MoSi attenuated PSM [7379-56]Yamana, M. / Lamantia, M. / Philipsen, V. / Wada, S. / Nagatomo, T. / Tonooka, Y. / SPIE (Society) et al. | 2009
-
High sensitivity electric field monitoring system for control of field-induced CD degradation in reticles (EFM) [7379-60]Sebald, T. / Rider, G. / SPIE (Society) et al. | 2009
-
Study of electric-field-induced-development method [7379-68]Terayama, M. / Sakurai, H. / Sakai, M. / Itoh, M. / Ikenaga, O. / Funakoshi, H. / Shiozawa, T. / Miyazaki, S. / Saito, Y. / Hayashi, N. et al. | 2009
-
An evaluation of a new side-wall-angle measurement technique for mask patterns by CD-SEM [7379-38]Hakii, H. / Yonekura, I. / Kawashita, M. / Kojima, Y. / Sakamoto, Y. / Tanaka, K. / SPIE (Society) et al. | 2009
-
TeraScanXR: a high sensitivity and throughput photomask inspection system [7379-83]Mu, B. / Dayal, A. / Goonesekera, A. / Lim, P. / Chen, C. / Liu, P. / Yeung, K. / Pinto, B. / Broadbent, B. / Inderhees, G. et al. | 2009