Advanced mask cleaning techniques for sub-100-nm technology nodes (English)
- New search for: Papanu, James S.
- New search for: Gouk, Roman
- New search for: Franklin, Cole
- New search for: Chen, Han-Wen
- New search for: Verhaverbeke, Steven
- New search for: Ko, Alexander
- New search for: Child, Kent
- New search for: Boelen, Pieter
- New search for: Shrauti, Suresh
- New search for: Martinez, Elias
- New search for: Brown, Brian J.
- New search for: Papanu, James S.
- New search for: Gouk, Roman
- New search for: Franklin, Cole
- New search for: Chen, Han-Wen
- New search for: Verhaverbeke, Steven
- New search for: Ko, Alexander
- New search for: Child, Kent
- New search for: Boelen, Pieter
- New search for: Shrauti, Suresh
- New search for: Martinez, Elias
- New search for: Brown, Brian J.
In:
Proc. SPIE
;
5992
; 59921G
;
2005
-
ISBN:
-
ISSN:
- Conference paper / Electronic Resource
-
Title:Advanced mask cleaning techniques for sub-100-nm technology nodes
-
Contributors:Papanu, James S. ( author ) / Gouk, Roman ( author ) / Franklin, Cole ( author ) / Chen, Han-Wen ( author ) / Verhaverbeke, Steven ( author ) / Ko, Alexander ( author ) / Child, Kent ( author ) / Boelen, Pieter ( author ) / Shrauti, Suresh ( author ) / Martinez, Elias ( author )
-
Conference:25th Annual BACUS Symposium on Photomask Technology ; 2005 ; Monterey,California,United States
-
Published in:Proc. SPIE ; 5992 ; 59921G
-
Publisher:
- New search for: SPIE
-
Publication date:2005-11-04
-
ISBN:
-
ISSN:
-
DOI:
-
Type of media:Conference paper
-
Type of material:Electronic Resource
-
Language:English
-
Source:
Table of contents conference proceedings
The tables of contents are generated automatically and are based on the data records of the individual contributions available in the index of the TIB portal. The display of the Tables of Contents may therefore be incomplete.
- 59920A
-
Advanced manufacturing rules check (MRC) for fully automated assessment of complex reticle designsGladhill, R. / Aguilar, D. / Buck, P. D. / Dawkins, D. / Nolke, S. / Riddick, J. / Straub, J. A. et al. | 2005
- 59920B
-
Improvement in defect classification efficiency by grouping disposition for reticle inspectionLai, Rick / Hsu, Luke T. H. / Chang, Peter / Ho, C.H. / Tsai, Frankie / Long, Garrett / Yu, Paul / Miller, John / Hsu, Vincent / Chen, Ellison et al. | 2005
- 59920C
-
Advanced reticle inspection challenges and solutions for 65nm nodeKim, Won D. / Eickhoff, Mark D. / Kim, David / McCurley, Sandy et al. | 2005
- 59920D
-
Mask design rules (45 nm): time for standardizationMason, Mark / Progler, Christopher J. / Martin, Patrick / Ham, Young-Mog / Dillon, Brian / Pack, Robert / Heins, Mitch / Gookassian, John / Garcia, John / Boksha, Victor et al. | 2005
- 59920E
-
Impact of photolithography and mask variability on interconnect parasiticsTian, Yuxin / Shi, Weiping / Mercer, M. Ray et al. | 2005
- 59920F
-
DfM requirements and ROI analysis for system-on-chipBalasinski, Artur et al. | 2005
- 59920G
-
DFM for manufacturers and designersHurat, Philippe / Cote, Michel et al. | 2005
- 59920H
-
Tolerable CD variation analyzer using perturbed nominal models demonstrated on altPSMGraur, Ioana / Culp, James A. / Bruce, James / Al-Imam, Mohamed / Bahnas, Mohamed et al. | 2005
- 59920I
-
Antireflection solutions for next generation 193-nm binary and phase-shifting masksBecker, Hans / Renno, Markus / Hermanns, Ulrich / Seitz, Holger / Buttgereit, Ute / Knapp, Konrad / Hess, Günter et al. | 2005
- 59920J
-
A films based approach to intensity imbalance correction for 65nm node c:PSMCottle, Rand / Sixt, Pierre / Lassiter, Matt / Cangemi, Marc / Martin, Patrick / Progler, Chris et al. | 2005
- 59920K
-
Expanding grayscale capability of direct-write grayscale photomask by using modified Bi/In compositionsPoon, David K. / Chapman, Glenn H. / Choo, Chinheng / Wang, Jun / Tu, Yuqiang / La Haye, Michelle L. et al. | 2005
- 59920L
-
Post coat delay effects on chemically amplified resists and storage condition impactsSullivan, Daniel B. / Racette, Kenneth C. / Barrett, Monica J. / Couture, R. Brian et al. | 2005
- 59920M
-
Noble development system to achieve defect-free process for 65nm node photomasksSasaki, Hironori / Sanki, Shuichi / Hikichi, Ryugo / Ogawa, Kiyoshi / Naito, Akihiko / Sato, Yukihiro / Kushida, Yasuyuki / Ishiwata, Naoyuki / Maruyama, Hiroshi et al. | 2005
- 59920N
-
Approaching zero etch bias at Cr etch processNesladek, Pavel / Falk, Norbert / Wiswesser, Andreas / Koch, Renee / Sass, Björn et al. | 2005
- 59920O
-
A study of Cr to Mosi in situ dry etching process to reduce plasma induced defectJang, Il-Yong / Park, Young-Ju / Kwon, Hyuk-Joo / Moon, Seong-Yong / Choi, Seong-Woon / Han, Woo-Sung et al. | 2005
- 59920P
-
65 nm node photomask etching with zero CD process biasWu, Banqiu / Chen, Jeff / Markovitz, Ed / Xiao, Guangming / Tam, Simon / Kumar, Ajay / Ibrahim, Ibrahim / Yau, Wai-Fan et al. | 2005
- 59920Q
-
Evaluation of quartz dry etching performance for next generation phase-shift mask applicationsAnderson, S. A. / Konishi, T. / Koch, R. / Yokoi, S. / Kumar, A. / Ibrahim, I. et al. | 2005
- 59920R
-
Evaluation of transparent etch stop layer phase shift mask patterning and comparison with the single trench undercut approachRody, Y. / Martin, P. / Couderc, C. / Sixt, P. / Gardin, C. / Lucas, K. / Patterson, K. / Miramond-Collet, C. / Belledent, J. / Boone, R. et al. | 2005
- 59920S
-
Mask lithography assessment for 45 nm node technologyMackay, R. Scott / Kamberian, Henry / Rockwell, Barry et al. | 2005
- 59920T
-
Gray scaling in high performance optical pattern generatorsMartinsson, Hans / Sandstrom, Tor et al. | 2005
- 59920U
-
Pattern fidelity performance from next-generation DUV laser lithography on 65nm masks and wafersKiefer, Robert / Buck, Peter / Garg, Vishal / Hickethier, Jason / Jackson, Curt / Manfredo, John / Morgante, Cris / Allen, Paul / White, Michael et al. | 2005
- 59920V
-
Production performance of a Sigma7300 DUV mask writerOlshausen, Bob / Chandramouli, Mahesh / Wall, Dustin / Auches, Bruce / Cole, Damon et al. | 2005
- 59920W
-
Performance of the ALTA 4700 with variable print strategy and optimized resist processAllen, Paul C. / Hamaker, H. Christopher / Morgante, Cris / Berwick, Andrew / White, Michael et al. | 2005
- 59920X
-
Demonstration of a new mask structure using a bonded hard pellicleThony, Philippe / Biasse, Béatrice / Zussy, Marc / Bianucci, Giovanni / Cantu', Pietro / Henry, Daniel et al. | 2005
- 59920Y
-
A novel strategy of lithography-error-budget optimization for the 65-nm node: mask specifications for hyper-NA imagingIwase, Kazuya / Ishikawa, Kiichi / Takeuchi, Koichi / Ozawa, Ken / Uesawa, Fumikatsu et al. | 2005
- 59920Z
-
The impact of attenuated phase shift mask topography on hyper-NA lithographyMack, Chris A. / Smith, Mark D. / Graves, Trey et al. | 2005
- 59921A
-
Impact of DUV exposure on reticle repairsTolani, Vikram L. / Chegwidden, Scott / Buenconsejo, Edgar C. / Tanzil, Daniel / Bald, Daniel J. et al. | 2005
- 59921C
-
Image enhancement technology to get fine defect image for FIBChoi, Yongkyoo / Kim, Heecheon / Han, Oscar et al. | 2005
- 59921D
-
Integration of photolithographic simulation and a mask repair system into a single concurrent work cellRobinson, Tod / Brooker, Peter / Bozak, Ron / Lee, David A. et al. | 2005
- 59921E
-
Haze prevention and phase/transmission preservation through cleaning process optimizationQin, Jennifer / Zhang, Yuan / Delgado, Rob / Rockwell, Barry / Tan, Florence / Phan, Khoi / Berger, Lothar / Liu, Min / Dietez, Uwe et al. | 2005
- 59921F
-
The surface treatment for prevention of growing defectJun, Jea-Young / Ryu, Ji-Sun / Choi, Yongk-Yoo / Han, Oscar et al. | 2005
- 59921G
-
Advanced mask cleaning techniques for sub-100-nm technology nodesPapanu, James S. / Gouk, Roman / Franklin, Cole / Chen, Han-Wen / Verhaverbeke, Steven / Ko, Alexander / Child, Kent / Boelen, Pieter / Shrauti, Suresh / Martinez, Elias et al. | 2005
- 59921H
-
Characterization of photomask surface cleaning with cryogenic aerosol techniqueBanerjee, S. / Lin, C.C. / Su, S. / Bowers, C. / Chung, H.F. / Brandt, W. / Tang, K. et al. | 2005
- 59921I
-
CD metrology of binary and phase shift masks using scatterometryLee, Kyung M. / Yedur, Sanjay / Tabet, Milad / Tavassoli, Malahat et al. | 2005
- 59921J
-
Mobile metrology for advanced photomask manufacturingMacDonald, Paul / Goudy, Michael P. / Koty, Devi / Omoregie, Henryson / Webster, M. David et al. | 2005
- 59921K
-
Photomask registration specification and its impact on FLASH memory devicesCarpi, Enio / Brown, Stuart / Tan, Florence / Edwards, Rick et al. | 2005
- 59921L
-
Semiconductor pattern analysis with induced polarizationChen, Tao / Milster, Tom / Yang, Seung Hune et al. | 2005
- 59921M
-
Mask pattern quality assurance based on lithography simulation with fine pixel SEM imageKariya, Mitsuyo / Yamanaka, Eiji / Tanaka, Satoshi / Ikeda, Takahiro / Yamaguchi, Shinji / Hashimoto, Kohji / Itoh, Masamitsu / Kobayashi, Hideaki / Kawashima, Tsukasa / Narukawa, Shogo et al. | 2005
- 59921N
-
Applying assist features to improve two dimensional feature process robustnessMelvin III, Lawrence S. / Painter, Benjamin D. / Barnes, Levi D. et al. | 2005
- 59921O
-
Compensating mask topography effects in CPL through-pitch solutions toward the 45nm nodeBekaert, Joost / Philipsen, Vicky / Vandenberghe, Geert / van den Broeke, Doug / Degel, Wolfgang / Zibold, Axel et al. | 2005
- 59921P
-
Optical DC overlay measurement in the 2nd level process of 65 nm alternating phase shift maskMa, Jian / Han, Ke / Lee, Kyung / Korobko, Yulia / Silva, Mary / Chavez, Joas / Irvine, Brian / Henrichs, Sven / Chakravorty, Kishore / Olshausen, Robert et al. | 2005
- 59921Q
-
Double exposure technique for 45nm node and beyondHsu, Stephen / Park, Jungchul / Van Den Broeke, Douglas / Chen, J. Fung et al. | 2005
- 59921S
-
Image imbalance compensation in alternating phase-shift masks towards the 45nm node through-pitch imagingVan Look, Lieve / Kasprowicz, Bryan / Zibold, Axel / Degel, Wolfgang / Vandenberghe, Geert et al. | 2005
- 59921T
-
A practical alternating PSM modeling and OPC approach to deal with 3D mask effects for the 65nm node and beyondDrapeau, Martin / van Adrichem, Paul J. M. / van Look, Lieve / Kasprowicz, Bryan S. et al. | 2005
- 59921U
-
First 65nm tape-out using inverse lithography technology (ILT)Hung, Chi-Yuan / Zhang, Bin / Tang, Deming / Guo, Eric / Pang, Linyong / Liu, Yong / Moore, Andrew / Wang, Kechang et al. | 2005
- 59921V
-
Calibration of compact OPC models using SEM contoursGranik, Yuri et al. | 2005
- 59921W
-
Modeling OPC complexity for design for manufacturabilityGupta, Puneet / Kahng, Andrew B. / Muddu, Swamy / Nakagawa, Sam / Park, Chul-Hong et al. | 2005
- 59921X
-
Applying reconfigurable RET across process window to create more robust manufacturing designsLaurance, Mark / Vikram, Abhishek / Ma, Melody / Volk, William / Anderson, Melissa / Andrews, Scott / Su, Bo / Du, Hong / Verma, Gaurav et al. | 2005
- 59921Y
-
Model-based insertion and optimization of assist features with application to contact layersShang, Shumay D. / Granik, Yuri / Swallow, Lisa / Zhang, Li-guo / Brist, Travis / Torres, Andres / Hung, Chi-Yuan / Liu, Qingwei et al. | 2005
- 59921Z
-
Real-world impact of inverse lithography technologyHo, Jonathan / Wang, Yan / Wu, Xin / Leitermann, Wolfgang / Lin, Benjamin / Shieh, Ming Feng / Sun, Jie-wei / Lin, Orson / Lin, Jason / Liu, Yong et al. | 2005
- 59922A
-
EUVL mask manufacturing: technologies and resultsLetzkus, Florian / Butschke, Joerg / Irmscher, Mathias / Sailer, Holger / Dersch, Uwe / Holfeld, Christian et al. | 2005
- 59922B
-
Magnetron reactive sputtering of TaN and TaON films for EUV mask applicationsLee, Kyung M. / Tavassoli, Malahat / Stivers, Alan / Lieberman, Barry et al. | 2005
- 59922C
-
RIM-13: a high-resolution imaging tool for aerial image monitoring of EUV reticlesBooth, M. / Brunton, A. / Cashmore, J. / Elbourn, P. / Elliner, G. / Gower, M. / Greuters, J. / Hirsch, J. / Kling, L. / McEntee, N. et al. | 2005
- 59922E
-
Template manufacturing for nanoimprint lithography using existing infrastructureIrmscher, Mathias / Butschke, Joerg / Hess, Guenter / Letzkus, Florian / Renno, Markus / Sailer, Holger / Schulz, Hubert / Schwersenz, Anatol / Thompson, Ecron / Vratzov, Boris et al. | 2005
- 59922F
-
Design and fabrication of highly complex topographic nano-imprint template for dual Damascene full 3-D imprintingMacDonald, Susan / Hughes, Greg / Stewart, Michael / Palmieri, Frank / Willson, C. Grant et al. | 2005
- 59922Q
-
Impact of mask CD error on OPC performance for 65nm technology M1 levelPark, Oseo / Oberschmidt, James / Li, Wai-Kin et al. | 2005
- 59922R
-
Study of effects of sidewall angle on process window using 193nm CPL masks in a 300mm wafer manufacturing environmentCheng, Yung Feng / Chou, Yueh Lin / Lin, C. L. / Huang, Peter et al. | 2005
- 59922S
-
Enabling incremental RET to exploit hierarchical structure across multiple designs for sub-100 nm lithographyLaurance, Mark / Anderson, Melissa / Pilloff, Mark et al. | 2005
- 59922T
-
Hybrid ORC method for low K1 processNam, Byungho / Choi, Jaeseung / Ahn, Yeongbae / Kim, Cheolkyun / Yune, Hyoungsoon / Moon, James / Yim, Donggyu / Kim, Jinwoong et al. | 2005
- 59922U
-
Full-chip level MEEF analysis using model based lithography verificationKim, Juhwan / Wang, Lantian / Zhang, Daniel / Tang, Zongwu et al. | 2005
- 59922V
-
Shot reduction technique for character projection lithography using combined cell stencilKazama, Taisuke / Ikeda, Makoto / Asada, Kunihiro et al. | 2005
- 59922W
-
How large MEEF is acceptable for the low-k1lithography?Nam, Dongseok / Lee, Dong-Gun / Kim, Byunggook / Moon, Seong-Yong / Choi, Seong-Woon / Han, Woo-Sung et al. | 2005
- 59922Y
-
The importance of being homogeneous: on the influence of illumination inhomogeneity on AIMS imagesDürr, Arndt C. / Bubke, Karsten / Sczyrba, Martin / Angonin, Samuel et al. | 2005
- 59922Z
-
Post-OPC verification using a full-chip pattern-based simulation verification methodHung, Chi-Yuan / Wang, Ching-Heng / Ma, Cliff / Zhang, Gary et al. | 2005
- 59923B
-
Advanced e-beam CAR resist evaluation for 65nm generationChan, Gordon / Lin, Orson / Tseng, Wesen / Lee, Booky / Huang, Torey / Kozuma, Makoto et al. | 2005
- 59923C
-
Investigation of shipping material and reticle storage environment to dark loss stability of chemically amplified resistDeverich, Christina / Rabidoux, Paul / Racette, Ken et al. | 2005
- 59923D
-
A study of organic contamination control on photomask surface for 65nm tech nodeKim, Jong-Min / Kang, Han-Byul / Kim, Yong-Dae / Cho, Hyun-Joon / Choi, Sang-Soo et al. | 2005
- 59923E
-
Investigation of sulfate free clean processes for next generation lithographyChovino, Christian / Helbig, Stefan / Haschke, Petr / Saule, Werner et al. | 2005
- 59923F
-
Influence of organic contamination on photomask performanceChovino, Christian / Helbig, Stefan / Dieckmann, Wolfgang / Bubke, Karsten / Dress, Peter et al. | 2005
- 59923G
-
Mask cleaning strategies: particle elimination with minimal surface damageOsborne, Steve / Nanningas, Matthias / Takahashi, Hidekazu / Woster, Eric / Kanda, Carl / Tibbe, John et al. | 2005
- 59923H
-
Mask cleaning strategies: haze eliminationOsborne, Steve / Nanninga, Matthias / Takahashi, Hidekazu / Woster, Eric et al. | 2005
- 59923I
-
Haze defect control and containment in a high volume DRAM manufacturing environmentChen, Jerry X. / Nguyen, Maihan / Arasaki, Osamu / Maraquin, Tammy / Sawyer, Daniel / Morrison, Pedro et al. | 2005
- 59923J
-
Use of excimer laser test system for studying haze growthGordon, Joseph / Murray, Brooke / Frisa, Larry E. / Nelson, Erik / Weins, Colleen / Green, Michael / Lamantia, Matt et al. | 2005
- 59923K
-
Cleaning of low thermal expansion material (LTEM) substrates for mask blanks in EUV lithographyEichenlaub, Sean / Dietze, Sebastian / Ikuta, Yoshiaki / Popp, Helmut / Goncher, Kurt / Marmillion, Pat / Rastegar, Abbas et al. | 2005
- 59923L
-
Study towards model-based DRC verificationTorres, J. Andres / Cobb, Nick et al. | 2005
- 59923M
-
Model-based DRC for design and process integrationHung, Chi-Yuan / Jost, Andrew M. / Liu, Qingwei et al. | 2005
- 59923N
-
A novel GDSII compression techniquePereira, Mark / Baruah, Barsha et al. | 2005
- 59923O
-
Technical summary for the Foundry Data eXchange systemBraun, Christopher P. / Krupka, Gerry / Peiffer, Frederick R. / Polk, Thomas A. / Roadcap, Evelyn E. / Sosik, John M. / Van Allen, Gregory P. / Wilkinson, William et al. | 2005
- 59923P
-
Enhanced resist and etch CD control by design perturbationGupta, Puneet / Kahng, Andrew B. / Park, Chul-Hong et al. | 2005
- 59923Q
-
Characteristics of RIE lag and pattern density effect in alternating aperture phase shift masksChang, Byung-Soo / Chang, Yoon-Young / Bang, Hyun-Suk / Lee, In-Soo / Kim, Lee-Ju / Ahn, Chang-Nam / Kim, Hong-Suk et al. | 2005
- 59923R
-
The possibility of CrOx as the top coating material on a MoSi HTHarashima, Noriyuki / Iso, Hiroyuki / Isozaki, Tatsuya / Umeo, Naohiro / Sasaki, Takaei et al. | 2005
- 59923S
-
Electrostatic chucking and EUVL mask flatness analysisNataraju, M. / Mikkelson, A. / Sohn, J. / Engelstad, R. L. / Lovell, E. G. et al. | 2005
- 59923T
-
Predicting wafer-level IP error due to particle-induced EUVL reticle distortion during exposure chuckingRamaswamy, Vasu / Mikkelson, Andrew / Engelstad, Roxann / Lovell, Edward et al. | 2005
- 59923U
-
Determination of mask layer stress by placement metrologyButschke, Jörg / Buttgereit, Ute / Cotte, Eric / Hess, Günter / Irmscher, Mathias / Seitz, Holger et al. | 2005
- 59923V
-
Impact of slanted absorber side wall on printability in EUV lithographySugawara, Minoru / Nishiyama, Iwao et al. | 2005
- 59923X
-
Acoustic streaming effects in megasonic cleaning of EUV photomasks: a continuum modelKapila, Vivek / Deymier, Pierre A. / Shende, Hrishikesh / Pandit, Viraj / Raghavan, Srini / Eschbach, Florence O. et al. | 2005
- 59923Y
-
Inspection and planarization of programmed pit masks for EUV lithographySeo, S.-C. / Han, S.-I. / Ikuta, Y. / Kearney, P. / Ma, A. / Krick, D. et al. | 2005
- 59923Z
-
Evaluation of alternative capping layers for EUVL mask ML blankYan, Pei-yang / Spiller, Eberhard / Gullikson, Eric / Hill, Shannon et al. | 2005
- 59924A
-
An integrated approach to the analysis of imprint vs. optical lithography, or why this is not just a mask discussionMaltabes, John G. / Mackay, R. Scott / Cottle, Rand et al. | 2005
- 59924B
-
A comprehensive reticle handling and storage approach for optimized fab yieldsNobe, Atsushi / Kawashima, Hideaki / Kurikawa, Akinori / Kasahara, Hisashi / Ohta, Fumiko / Okubo, Yasushi et al. | 2005
- 59924C
-
Optimal distributed computing resources for mask synthesis and tape-out in production environment: an economic analysisCork, Chris / Chacko, Manoj / Levi, Shimon et al. | 2005
- 59924E
-
Mask rule check for inspection of leading-edge photomaskSakata, Wakahiko / Yamasaki, Kiyoshi / Narukawa, Shogo / Hayashi, Naoya et al. | 2005
- 59924F
-
Application of the unified mask data format based on OASIS for VSB EB writersSuzuki, Toshio / Hirumi, Junji / Suga, Osamu et al. | 2005
- 59924G
-
CD measurement of points indicated in photomask writing dataSatoh, Hitomi / Ataka, Masashi / Anazawa, Norimichi et al. | 2005
- 59924H
-
CD measurement of angled lines on high-end masks and its calibration methodAtaka, Masashi / Kitayama, Yasunobu / Takahashi, Katsuyuki / Nakamura, Naoyuki / Santo, Izumi / Satoh, Hitomi / Anazawa, Norimichi et al. | 2005
- 59924I
-
Scatterometry based CD and profile metrology of MoSi/quartz structuresYedur, Sanjay / Vuong, Vi / Shivaprasad, Deepak / Sarathy, T.P. / Tabet, Milad / Korlahalli, Rahul / Hu, Jiangtao et al. | 2005
- 59924J
-
Development of an actinic photomask review and phase metrology tool for 193-nm lithographyMerriam, Andrew J. / Jacob, James J. et al. | 2005
- 59924L
-
Reticle haze measurement by spectroscopic elipsometryKim, Young-Hoon / Kim, Seong-Jin / Park, Jin-Back / Jung, Mi-Lim / Kim, Sung-Hyuck / Park, Seung-Wook / Kyoung, Jai-Sun / An, Il-Sin / Oh, Hye-Keun et al. | 2005
- 59924N
-
Advanced edge roughness measurement application for mask metrologyChase, D. / Kris, R. / Katz, R. / Tam, A. / Gershtein, L. / Falah, R. / Wertsman, N. et al. | 2005
- 59924O
-
Calibration procedures and application of the PTB photomask CD standardHäßler-Grohne, W. / Frase, C.G. / Czerkas, S. / Dirscherl, K. / Bodermann, B. / Mirandé, W. / Ehret, G. / Bosse, H. et al. | 2005
- 59924P
-
Measuring line-edge roughness of masks with DUV lightStokowski, Stan / Alles, David et al. | 2005
- 59924R
-
Image placement accuracy of single-membrane stencil masks for e-beam lithographyKitada, Minoru / Yusa, Satoshi / Kuwahara, Naoko / Fujita, Hiroshi / Takikawa, Tadahiko / Sano, Hisatake / Hoga, Morihisa et al. | 2005
- 59924T
-
Electron beam pattern generator sensitivity to target potentialsRuan, Junru / Hartley, John et al. | 2005
- 59924U
-
Improved modeling of fogging and loading effect correctionLee, Sanghee / Kim, Byunggook / Han, Hakseung / Nam, Dongseok / Moon, Seongyong / Choi, Seongwoon / Han, Woosung et al. | 2005
- 59924V
-
Analysis of various blur effects on mask CD distortionHan, Hak-Seung / Lee, Sang-Hee / Kim, Byung-Gook / Moon, Seong-Yong / Choi, Sung Woon / Han, Woo-Sung et al. | 2005
- 59924W
-
Characterization and qualification of the Jeol JBX9000-MVII e-beam writer for the 90nm node and its integration in a photomask manufacturing lineRaffaele, Luigi / Pogliani, Carlo / Cassol, Gian Luca / Bianucci, Giovanni / Murai, Shiaki / Murata, Shoichi / Hikichi, Ryugo / Katsuki, Hidenao / Noguchi, Shigeru et al. | 2005
- 59924X
-
Mask repair induced defect study and characterizationIsmail, Noor Azlina / Ibrahim, Kader / Sundharam, S. Mogana et al. | 2005
- 59924Y
-
Advanced photomask repair technology for 65nm lithography (4)Itou, Yasutoshi / Tanaka, Yoshiyuki / Suga, Osamu / Sugiyama, Yasuhiko / Hagiwara, Ryoji / Takahashi, Haruo / Takaoka, Osamu / Kozakai, Tomokazu / Matsuda, Osamu / Suzuki, Katsumi et al. | 2005
- 59924Z
-
Mask repair for the 65nm technology nodeRobinson, Tod / Dinsdale, Andrew / Bozak, Ron / White, Roy / Lee, David A. / Roessler, Ken et al. | 2005
- 59925A
-
FPGA chip performance improvement with gate shrink through alternating PSM 90nm processYu, Chun-Chi / Shieh, Ming-Feng / Liu, Erick / Lin, Benjamin / Ho, Jonathan / Wu, Xin / Panaite, Petrisor / Chacko, Manoj / Zhang, Yunqiang / Lei, Wen-Kang et al. | 2005
- 59925B
-
Three dimensional EUV simulations: a new mask near field and imaging simulation systemEvanschitzky, Peter / Erdmann, Andreas et al. | 2005
- 59925C
-
Area measurements for simulation-based dispositioning of masksLuk-Pat, Gerard / Chen, Jiunn-Huang / Morgan, Ray / Schneider, Eric et al. | 2005
- 59925D
-
A simulation-based defect disposition flow for incoming mask quality assuranceLee, Don / Chu, Brian / Fang, T.Y. / Shieh, W.B. / Hu, Susan / Chen, Jiunn-Hung / Morgan, Ray et al. | 2005
- 59925E
-
Full-chip poly gate critical dimension control using model based lithography verificationZhang, Daniel N. / Kim, Juhwan / Wang, Lantian / Tang, Zongwu et al. | 2005
- 59925G
-
Comparative study of simulations and experiments for contact array patterns on attenuated phase shifting maskHenkel, Thomas / Sczyrba, Martin / Noelscher, Christoph et al. | 2005
- 59925H
-
Methods for benchmarking photolithography simulators: Part IIISmith, Mark D. / Graves, Trey / Byers, Jeffrey D. / Mack, Chris A. et al. | 2005
- 59925I
-
Comparison of different approaches for the correction of residual mask proximity effectsMittermeier, E. / Franke, T. et al. | 2005
- 59925J
-
Interface creation to build a powerful photolithography simulation platformCostes, Marie-Sophie / Braun, Gerhard / Cuinet, Xavier / Fossati, Caroline / Liotard, Jean-Luc / Commandré, Mireille et al. | 2005
- 599202
-
Mask industry assessment: 2005 [5992-02]Shelden, G. / Hector, S. / SPIE et al. | 2005
- 599202
-
Mask industry assessment: 2005Shelden, Gilbert / Hector, Scott et al. | 2005
- 599205
-
The detectability of Qz phase defects and its application for 65nm node CPL mask manufacturingCho, Won Il / Park, Jin Hyung / Chung, Dong Hoon / Choi, Sung Woon / Han, Woo Sung et al. | 2005
- 599205
-
The detectability of Qz phase defects and its application for 65 nm node CPL mask manufacturing [5992-05]Cho, W. I. / Park, J. H. / Chung, D. H. / Choi, S. W. / Han, W. S. / SPIE et al. | 2005
- 599206
-
Process window impact of progressive mask defects: its inspection and disposition techniques (go/no-go criteria) via a lithographic detectorHuang, Jerry / Peng, Lan-Hsin / Chu, Chih-Wei / Bhattacharyya, Kaustuve / Eynon, Ben / Mirzaagha, Farzin / Dibiase, Tony / Son, Kong / Cheng, Jackie / Chen, Ellison et al. | 2005
- 599206
-
Process window impact of progressive mask defects: its inspection and disposition techniques (go/no-go criteria) via a lithographic detector [5992-06]Huang, J. / Peng, L.-H. / Chu, C.-W. / Bhattacharyya, K. / Eynon, B. / Mirzaagha, F. / Dibiase, T. / Son, K. / Cheng, J. / Chen, E. et al. | 2005
- 599207
-
Evaluation and implementation of TeraScan reflected light die-to-database inspection mode for 65nm design node processHsu, Luke T. H. / Ho, C.H. / Lin, C. C. / Hsu, Vincent / Chen, Ellison / Yu, Paul / Son, Kong et al. | 2005
- 599207
-
Evaluation and implementation of TeraScan reflected light die-to-database inspection mode for 65nm design node process [5992-07]Hsu, L. T. H. / Ho, C.-H. / Lin, C. C. / Hsu, V. / Chen, E. / Yu, P. / Son, K. / SPIE et al. | 2005
- 599208
-
Generating mask inspection rules for advanced lithographyBadger, Karen / Broadbent, Bill / Dayal, Aditya / Gallagher, Emily / Hsiang, ChingYun / Redding, Vincent et al. | 2005
- 599208
-
Generating mask inspection rules for advanced lithography [5992-08]Badger, K. / Broadbent, B. / Dayal, A. / Gallagher, E. / Hsiang, C. / Redding, V. / SPIE et al. | 2005
- 599209
-
Implementation of reflected light die-to-die inspection and ReviewSmart to improve 65nm DRAM mask fabricationKim, Do Young / Cho, Won Il / Park, Jin Hyung / Chung, Dong Hoon / Cha, Byung Chul / Choi, Seong Woon / Han, Woo Sung / Park, Ki Hun / Kim, Nam Wook / Hess, Carl et al. | 2005
- 599209
-
Implementation of reflected light die-to-die inspection and ReviewSmart to improve 65nm DRAM mask fabrication [5992-09]Kim, D. Y. / Cho, W. I. / Park, J. H. / Chung, D. H. / Cha, B. C. / Choi, S. W. / Han, W. S. / Park, K. H. / Kim, N. W. / Hess, C. et al. | 2005
- 599210
-
The impact of mask birefringence on hyper-NA (NA>1.0) polarized imaging [5992-37]Geh, B. / Flagello, D. G. / Progler, C. / Martin, P. M. / Leunissen, L. H. A. / Hansen, S. / de Boeij, W. / SPIE et al. | 2005
- 599210
-
The impact of mask birefringence on hyper-NA (NA>1.0) polarized imagingGeh, Bernd / Flagello, Donis G. / Progler, Chris / Martin, Patrick M. / Leunissen, Leonardus H. A. / Hansen, Steve / de Boeij, Wim et al. | 2005
- 599211
-
Mask data volume: explosion or damp squib?Spence, Chris / Goad, Scott / Buck, Peter / Gladhill, Richard / Cinque, Russell et al. | 2005
- 599211
-
Mask data volume: explosion or damp squib? [5992-38]Spence, C. / Goad, S. / Buck, P. / Gladhill, R. / Cinque, R. / SPIE et al. | 2005
- 599212
-
Reduction of MDP complexity through the application of OASIS based data flowJang, Sung-Hoon / Choi, Ji-Hyeon / Park, Ji-Soong / Choi, Seong-Woon / Han, Woo-Sung et al. | 2005
- 599212
-
Reducing of MDP complexity through the application of OASIS based data flow [5992-39]Jang, S.-H. / Choi, J.-H. / Park, J.-S. / Choi, S.-W. / Han, W.-S. / SPIE et al. | 2005
- 599213
-
Improved file sizes and cycle times through optimization of GDSII streamLe, Chin / Gariepy, David et al. | 2005
- 599213
-
Improved file sizes and cycle times through optimization of GDSII stream [5992-40]Le, C. / Gariepy, D. / SPIE et al. | 2005
- 599214
-
Optimized distributed computing environment for mask data preparationAhn, Byoung-Sup / Bang, Ju-Mi / Ji, Min-Kyu / Kang, Sun / Jang, Sung-Hoon / Choi, Yo-Han / Ki, Won-Tai / Choi, Seong-Woon / Han, Woo-Sung et al. | 2005
- 599214
-
Optimized distributed computing environment for mask data preparation [5992-41]Ahn, B.-S. / Bang, J.-M. / Ji, M.-K. / Kang, S. / Jang, S.-H. / Choi, Y.-H. / Ki, W.-T. / Choi, S.-W. / Han, W.-S. / SPIE et al. | 2005
- 599215
-
The photomask technologies in hyper-NA lithography (Invited Paper) [5992-42]Watanabe, H. / Ohnuma, H. / SPIE et al. | 2005
- 599215
-
The photomask technologies in hyper-NA lithographyWatanabe, Hidehiro / Ohnuma, Hidetoshi et al. | 2005
- 599216
-
Benchmark of FEM, waveguide, and FDTD algorithms for rigorous mask simulation [5992-43]Burger, S. / Kohle, R. / Zschiedrich, L. / Gao, W. / Schmidt, F. / Marz, R. / Nolscher, C. / SPIE et al. | 2005
- 599216
-
Benchmark of FEM, waveguide, and FDTD algorithms for rigorous mask simulationBurger, Sven / Köhle, Roderick / Zschiedrich, Lin / Gao, Weimin / Schmidt, Frank / März, Reinhard / Nölscher, Christoph et al. | 2005
- 599217
-
Simulation-based photomask qualification using i-Virtual StepperTaylor, Darren / Morgan, Ray / Hu, Susan et al. | 2005
- 599217
-
Simulation-based photomask qualification using i-Virtual Stepper [5992-44]Taylor, D. / Morgan, R. / Hu, S. / SPIE et al. | 2005
- 599218
-
Vectorial effects in subwavelength mask imaging [5992-45]Cheng, W.-H. / Farnsworth, J. / Bloomstein, T. M. / Grenville, A. / SPIE et al. | 2005
- 599218
-
Vectorial effects in subwavelength mask imagingCheng, Wen-Hao / Farnsworth, Jeff / Bloomstein, Theodore M. / Grenville, Andrew et al. | 2005
- 599219
-
Through-process window resist modelling strategies for the 65 nm nodeBorjon, Amandine / Belledent, Jerôme / Trouiller, Yorick / Patterson, Kyle / Lucas, Kevin / Couderc, Christophe / Sundermann, Frank / Urbani, Jean-Christophe / Baron, Stanislas / Rody, Yves et al. | 2005
- 599219
-
Through-process window resist modelling strategies for the 65 nm node [5992-46]Borjon, A. / Belledent, J. / Trouiller, Y. / Patterson, K. / Lucas, K. / Couderc, C. / Sundermann, F. / Urbani, J.-C. / Baron, S. / Rody, Y. et al. | 2005
- 599220
-
Pattern type specific modeling and correction methodology at high NA and off-axis illumination [5992-73]Suh, S. / Kang, Y. / Kim, I. / Woo, S. / Cho, H. / Moon, J. / SPIE et al. | 2005
- 599220
-
Pattern type specific modeling and correction methodology at high NA and off-axis illuminationSuh, Sungsoo / Kang, Young-seog / Kim, In-sung / Woo, Sang-gyun / Cho, Hanku / Moon, Joo-tae et al. | 2005
- 599221
-
Laser and e-beam mask-to-silicon with inverse lithography technology (ILT)Pang, Linyong / Shamma, Nader / Rissman, Paul / Abrams, Dan et al. | 2005
- 599221
-
Laser and e-beam mask-to-silicon with inverse lithography technology (ILT) [5992-74]Pang, L. / Shamma, N. / Rissman, P. / Abrams, D. / SPIE et al. | 2005
- 599222
-
Fracture friendly optical proximity correction [5992-75]Amoroso, F. / Cote, M. / Do, T. / Lugg, R. / Nogatch, J. / SPIE et al. | 2005
- 599222
-
Fracture friendly optical proximity correctionAmoroso, Frank / Cote, Michel / Do, Tanya / Lugg, Robert / Nogatch, John et al. | 2005
- 599223
-
OPC for edge post structures using chromeless phase shifting mask in 3-D memory [5992-76]Chen, Y.-T. / Lee, M. T. / SPIE et al. | 2005
- 599223
-
OPC for edge post structures using chrome-less phase shifting mask in 3-D memoryChen, Yung-Tin / Lee, M.T. et al. | 2005
- 599224
-
OPC with customized asymmetric pupil illumination fillBodendorf, Christof T. / Haßmann, Jens / Mülders, Thomas / Kurth, Karin / Thiele, Jörg et al. | 2005
- 599224
-
OPC with customized asymmetric pupil illumination fill [5992-77]Bodendorf, C. T. / Hassmann, J. / Mulders, T. / Kurth, K. / Thiele, J. / SPIE et al. | 2005
- 599225
-
A mask manufacturer's perspective on maskless lithography [5992-78]Buck, P. / Biechler, C. / Kalk, F. / SPIE et al. | 2005
- 599225
-
A mask manufacturer's perspective on maskless lithographyBuck, Peter / Biechler, Charles / Kalk, Franklin et al. | 2005
- 599226
-
Economic consequences of high throughput maskless lithographyHartley, John G. / Govindaraju, Lakshmi et al. | 2005
- 599226
-
Economic consequences of high throughput maskless lithography [5992-79]Hartley, J. G. / Govindaraju, L. / SPIE et al. | 2005
- 599227
-
Implications of wafer design for manufacturing practices on photomask manufacturing [5992-80]Watts, A. / Rankin, J. / Magg, C. / SPIE et al. | 2005
- 599227
-
Implications of wafer design for manufacturing practices on photomask manufacturingWatts, Andrew / Rankin, Jed / Magg, Christopher et al. | 2005
- 599228
-
The difficult business model for mask equipment makers and mask infrastructure development support from consortia and governmentsHector, Scott et al. | 2005
- 599228
-
The difficult business model for mask equipment makers and mask infrastructure development support from consortia and governments [5992-81]Hector, S. / SPIE et al. | 2005
- 599229
-
Designing to win in sub-90nm mask production (Invited Paper) [5992-82]Zhang, Y. / SPIE et al. | 2005
- 599229
-
Designing to win in sub-90nm mask productionZhang, Yuan et al. | 2005
- 599230
-
Inverse lithography technology: verification of SRAM cell patternBalasinski, Artur / Moore, Andrew / Shamma, Nader / Lin, Timothy / Yang, Hee-hong et al. | 2005
- 599230
-
Inverse lithography technology: electrical verification of SRAM cell pattern [5992-101]Balasinski, A. / Moore, A. / Shamma, N. / Lin, T. / Yang, H. / SPIE et al. | 2005
- 599231
-
Inverse lithography technology principles in practice: unintuitive patternsLiu, Yong / Abrams, Dan / Pang, Linyong / Moore, Andrew et al. | 2005
- 599231
-
Inverse lithography technology principles in practice: unintuitive patterns [5992-102]Liu, Y. / Abrams, D. / Pang, L. / Moore, A. / SPIE et al. | 2005
- 599232
-
Source polarization and OPC effects on illumination optimizationBrist, Travis / Bailey, George E. / Drozdov, Alexander / Torres, Andres / Estroff, Andrew / Hendrickx, Eric et al. | 2005
- 599232
-
Source polarization and OPC effects on illumination optimization [5992-103]Brist, T. / Bailey, G. E. / Drozdov, A. / Torres, A. / Estroff, A. / Hendrickx, E. / SPIE et al. | 2005
- 599233
-
Mask error enhancement factor variation with pattern densityKang, Hye-Young / Kim, Sung-Hyuck / Lee, Chang-Ho / Oh, Hye-Keun et al. | 2005
- 599233
-
Mask error enhancement factor variation with pattern density [5992-104]Kang, H.-Y. / Kim, S.-H. / Lee, C.-H. / Oh, H.-K. / SPIE et al. | 2005
- 599234
-
Reverse engineering source polarization errorBailey, George E. / Adam, Kostas / Brist, Travis / Toublan, Olivier / Estroff, Andrew et al. | 2005
- 599234
-
Reverse engineering source polarization error [5992-105]Bailey, G. E. / Adam, K. / Brist, T. / Toublan, O. / Estroff, A. / SPIE et al. | 2005
- 599235
-
Manufacturability study of masks created by inverse lithography technology (ILT)Martin, Patrick M. / Progler, C. J. / Xiao, G. / Gray, R. / Pang, L. / Liu, Y. et al. | 2005
- 599235
-
Manufacturability study of masks created by inverse lithography technology (ILT) [5992-106]Martin, P. M. / Progler, C. J. / Xiao, G. / Gray, R. / Pang, L. / Liu, Y. / SPIE et al. | 2005
- 599237
-
Implementation of random contact hole design with CPL mask by using IML technologyHsu, Michael / Van Den Broeke, Doug / Hsu, Stephen / Chen, J. Fung / Shi, Xuelong / Corcoran, Noel / Yu, Linda et al. | 2005
- 599237
-
Implementation of random contact hole design with CPL mask by using IML technology [5992-108]Hsu, M. / Van Den Broeke, D. / Hsu, S. / Chen, J. F. / Shi, X. / Corcoran, N. / Yu, L. / SPIE et al. | 2005
- 599238
-
Optimization of Alt-PSM structure for 45nm node ArF immersion lithography [5992-109]Adachi, T. / Mesuda, K. / Toyama, N. / Morikawa, Y. / Mohri, H. / Hayashi, N. / SPIE et al. | 2005
- 599238
-
Optimization of Alt-PSM structure for 45nm node ArF immersion lithographyAdachi, Takashi / Mesuda, Kei / Toyama, Nobuhito / Morikawa, Yasutaka / Mohri, Hiroshi / Hayashi, Naoya et al. | 2005
- 599239
-
Patterning optimization for 55nm design rule DRAM/flash memory using production-ready customized illuminations [5992-110]Chen, T. / Van Den Broeke, D. / Hsu, S. / Hsu, M. / Park, S. / Berger, G. / Coskun, T. / de Vocht, J. / Chen, F. / Socha, R. et al. | 2005
- 599239
-
Patterning optimization for 55nm design rule DRAM/flash memory using production-ready customized illuminationsChen, Ting / Van Den Broeke, Doug / Hsu, Stephen / Hsu, Michael / Park, Sangbong / Berger, Gabriel / Coskun, Tamer / de Vocht, Joep / Chen, Fung / Socha, Robert et al. | 2005
- 599240
-
On the sensitivity improvement and cross-correlation methodology for confocal EUV mask blank defect inspection tool fleetTsai, Kuen-Yu / Gullikson, Eric / Kearney, Patrick / Stivers, Alan et al. | 2005
- 599240
-
On the sensitivity improvement and cross-correlation methodology for confocal EUV mask blank defect inspection tool fleet [5992-136]Tsai, K.-Y. / Gullikson, E. / Kearney, P. / Stivers, A. / SPIE et al. | 2005
- 599242
-
Design and fabrication of nano-imprint templates using unique pattern transforms and primitivesMacDonald, Susan / Mellenthin, David / Rentzsch, Kevin / Kramer, Kenneth / Ellenson, James / Hostetler, Tim / Enck, Ron et al. | 2005
- 599242
-
Design and fabrication of nano-imprint templates using unique pattern transforms and primitives [5992-138]MacDonald, S. / Mellenthin, D. / Rentzsch, K. / Kramer, K. / Ellenson, J. / Hostetler, T. / Enck, R. / SPIE et al. | 2005
- 599243
-
CW DUV light sources for inspection tools [5992-139]Sakuma, J. / Okada, Y. / Sumiyoshi, T. / Sekita, H. / Obara, M. / SPIE et al. | 2005
- 599243
-
CW DUV light sources for inspection toolsSakuma, Jun / Okada, Yasuyuki / Sumiyoshi, Tetsumi / Sekita, Hitoshi / Obara, Minoru et al. | 2005
- 599244
-
Inspection of integrated circuit database through reticle and wafer simulation: the lithography process window performance monitoring [5992-141]Su, B. / Verma, G. / Volk, W. / Ahmadian, M. / Du, H. / Vikram, A. / Andrews, S. / Cheng, Y. F. / Chou, Y. L. / Yang, C. H. et al. | 2005
- 599244
-
Inspection of integrated circuit database through reticle and wafer simulation: the lithography process window performance monitoringSu, Bo / Verma, Gaurav / Volk, William / Ahmadian, Mohsen / Du, Hong / Vikram, Abhishek / Andrews, Scott / Cheng, Yung Feng / Chou, Yueh Lin / Yang, Chuen Huei et al. | 2005
- 599245
-
Optimized inspection of advanced reticles on the TeraScan reticle inspection tool [5992-142]Dayal, A. / Sier, J.-P. / Sousa, W. / Labovitz, S. / SPIE et al. | 2005
- 599245
-
Optimized inspection of advanced reticles on the TeraScan reticle inspection toolDayal, Aditya / Sier, J.-P. / Sousa, Weston / Labovitz, Steven et al. | 2005
- 599246
-
Detailed characterization of inspection tools: capabilities and limitations of the KLA 576Heumann, J. / Moses, R. / Holfeld, C. / Schmidt, N. / Aquino, C. et al. | 2005
- 599246
-
Detailed characterization of inspection tools: capabilities and limitations of the KLA 576 [5992-192]Heumann, J. / Moses, R. / Holfeld, C. / Schmidt, N. / Aquino, C. / SPIE et al. | 2005
- 599248
-
Survey of SO~4 out gas on mask storage environment [5992-143]Lee, J. S. / Jee, S. B. / Hwang, S. M. / Park, H. Y. / Han, O. / SPIE et al. | 2005
- 599248
-
Survey of SO4out gas on mask storage environmentLee, Jun Sik / Jee, Sung Bae / Hwang, Sung Min / Park, Hyun Yul / Han, Oscar et al. | 2005
- 599249
-
Yield-driven multi-project reticle design and wafer dicing [5992-144]Kahng, A. B. / Mandoiu, I. / Xu, X. / Zelikovsky, A. / SPIE et al. | 2005
- 599249
-
Yield-driven multi-project reticle design and wafer dicingKahng, Andrew B. / Mandoiu, Ion / Xu, Xu / Zelikovsky, Alex et al. | 2005
- 599251
-
Integrated post tape outflow for fast design to mask turn-around timeHung, Chi-Yuan / Liu, Qingwei / Zhang, Liguo / Shang, Shumay / Bailey, George E. / Jost, Andrew / Brist, Travis et al. | 2005
- 599251
-
Integrated post tape outflow for fast design to mask turn-around time [5992-172]Hung, C.-Y. / Liu, Q. / Zhang, L. / Shang, S. / Bailey, G. E. / Jost, A. / Brist, T. / SPIE et al. | 2005
- 599252
-
Simulation-based scattering bar generation for 65nm and beyondHung, Chi-Yuan / Liu, Qingwei / Zhang, Liguo et al. | 2005
- 599252
-
Simulation-based scattering bar generation for 65nm and beyond [5992-173]Hung, C.-Y. / Liu, Q. / Zhang, L. / SPIE et al. | 2005
- 599253
-
The effect of calibration feature weighting on OPC optical and resist models: investigating the influence on model coefficients and on the overall model fittingAbdo, Amr / Fathy, Rami / Madkour, Kareem / Oberschmidt, James / Fischer, Daniel / Talbi, Mohamed et al. | 2005
- 599253
-
The effect of calibration feature weighting on OPC optical and resist models: investigating the influence on model coefficients and on the overall model fitting [5992-175]Abdo, A. / Fathy, R. / Madkour, K. / Oberschmidt, J. / Fischer, D. / Talbi, M. / SPIE et al. | 2005
- 599254
-
The novel approach for optical proximity correction using genetic algorithmsMatsunawa, Tetsuaki / Nosato, Hirokazu / Sakanashi, Hidenori / Murakawa, Masahiro / Murata, Nobuharu / Terasawa, Tsuneo / Tanaka, Toshihiko / Yoshioka, Nobuyuki / Suga, Osamu / Higuchi, Tetsuya et al. | 2005
- 599254
-
The novel approach for optical proximity correction using genetic algorithms [5992-176]Matsunawa, T. / Nosato, H. / Sakanashi, H. / Murakawa, M. / Murata, N. / Terasawa, T. / Tanaka, T. / Yoshioka, N. / Suga, O. / Higuchi, T. et al. | 2005
- 599255
-
Analytical approximations of the source intensity distributions [5992-177]Granik, Y. / Adam, K. / SPIE et al. | 2005
- 599255
-
Analytical approximations of the source intensity distributionsGranik, Yuri / Adam, Kostas et al. | 2005
- 599257
-
Off-target model based OPC [5992-179]Lu, M. / Liang, C. / King, D. / Melvin, L. S. / SPIE et al. | 2005
- 599257
-
Off-target model based OPCLu, Mark / Liang, Curtis / King, Dion / Melvin III, Lawrence S. et al. | 2005
- 599258
-
A new methodology for quantifying OPC recipe accuracyZiger, David / Gerold, Dave / King, Charles / Amoroso, Frank / Tuttle, Joshua / Lugg, Robert et al. | 2005
- 599258
-
A new methodology for quantifying OPC recipe accuracy [5992-180]Ziger, D. / Gerold, D. / King, C. / Amoroso, F. / Tuttle, J. / Lugg, R. / SPIE et al. | 2005
- 599259
-
Dense OPC for 65nm and belowCobb, Nicolas B. / Granik, Yuri et al. | 2005
- 599259
-
Dense OPC for 65nm and below [5992-181]Cobb, N. B. / Granik, Y. / SPIE et al. | 2005
- xxv
-
Mask modeling in the low k~1 and ultra-high NA regime: phase and polarization effectsErdmann, A. / SPIE et al. | 2005
- xxxix
-
Photomask process development for next generation lithographySasaki, S. / Itoh, K. / Fujii, A. / Toyama, N. / Mohri, H. / Hayahsi, N. / SPIE et al. | 2005
-
Advanced manufacturing rules check (MRC) for fully-automated assessment of complex reticle designs [5992-10]Gladhill, R. / Aguilar, D. / Buck, P. D. / Dawkins, D. / Nolke, S. / Riddick, J. / Straub, J. A. / SPIE et al. | 2005
-
Tolerable CD variation analyzer using perturbed nominal models demonstrated on altPSM [5992-17]Graur, I. / Culp, J. A. / Bruce, J. / Al-Imam, M. / Bahnas, M. / SPIE et al. | 2005
-
Evaluation of quartz dry etching performance for next generation phase-shift mask applications [5992-27]Anderson, S. A. / Konishi, T. / Koch, R. / Yokoi, S. / Kumar, A. / Ibrahim, I. / SPIE et al. | 2005
-
Mask pattern quality assurance based on lithography simulation with fine pixel SEM image [5992-59]Kariya, M. / Yamanaka, E. / Tanaka, S. / Ikeda, T. / Yamaguchi, S. / Hashimoto, K. / Itoh, M. / Kobayashi, H. / Kawashima, T. / Narukawa, S. et al. | 2005
-
Use of excimer laser test system for studying haze growth [5992-120]Gordon, J. / Murray, B. / Frisa, L. E. / Nelson, E. / Weins, C. / Green, M. / Lamantia, M. / SPIE et al. | 2005
-
Enhanced resist and etch CD control by design perturbation [5992-125]Gupta, P. / Kahng, A. B. / Park, C.-H. / SPIE et al. | 2005
-
Impact of slanted absorber side wall on printability in EUV lithography [5992-131]Sugawara, M. / Nishiyama, I. / SPIE et al. | 2005
-
Application of the unified mask data format based on OASIS for VSB EB writers [5992-195]Suzuki, T. / Hirumi, J. / Suga, O. / SPIE et al. | 2005
-
Electron beam pattern generator sensitivity to target potentials [5992-164]Ruan, J. / Hartley, J. / SPIE et al. | 2005
-
Advanced photomask repair technology for 65 nm lithography (4) [5992-170]Itou, Y. / Tanaka, Y. / Suga, O. / Sugiyama, Y. / Hagiwara, R. / Takahashi, H. / Takaoka, O. / Kozakai, T. / Matsuda, O. / Suzuki, K. et al. | 2005
-
Three-dimensional EUV simulations: a new mask near field and imaging simulation system [5992-183]Evanschitzky, P. / Erdmann, A. / SPIE et al. | 2005
-
Comparison of different approaches for the correction of residual mask proximity effects [5992-190]Mittermeier, E. / Franke, T. / SPIE et al. | 2005
-
Interface creation to build a powerful photolithography simulation platform [5992-191]Costes, M. / Braun, G. / Cuinet, X. / Fossati, C. / Liotard, J. / Commandre, M. / SPIE et al. | 2005
-
Magnetron reactive sputtering of TaN and TaON films for EUV mask applications [5992-84]Lee, K. M. / Tavassoli, M. / Stivers, A. / Lieberman, B. / SPIE et al. | 2005
-
The importance of being homogeneous: on the influence of illumination inhomogeneity on AIMS images [5992-99]Durr, A. C. / Bubke, K. / Sczyrba, M. / Angonin, S. / SPIE et al. | 2005
-
The possibility of CrOx as the top coating material on a MoSi HT [5992-127]Harashima, N. / Iso, H. / Isozaki, T. / Umeo, N. / Sasaki, T. / SPIE et al. | 2005
-
CD measurement of angled lines on high-end masks and its calibration method [5992-152]Ataka, M. / Kitayama, Y. / Takahashi, K. / Nakamura, N. / Santo, I. / Satoh, H. / Anazawa, N. / SPIE et al. | 2005
-
Mask repair induced defect study and characterization [5992-168]Ismail, N. A. / Ibrahim, K. / Sundharam, S. M. / SPIE et al. | 2005
-
65 nm node photomask etching with zero CD process bias [5992-26]Wu, B. / Chen, J. / Markovitz, E. / Xiao, G. / Tam, S. / Kumar, A. / Ibrahim, I. / Yau, W.-F. / SPIE et al. | 2005
-
Performance of the ALTA 4700 with variable print strategy and optimized resist process [5992-33]Allen, P. C. / Hamaker, H. C. / Morgante, C. / Berwick, A. / White, M. / SPIE et al. | 2005
-
Image enhancement technology to get fine defect image for FIB [5992-49]Choi, Y. / Kim, H. / Han, O. / SPIE et al. | 2005
-
Integration of photolithographic simulation and a mask repair system into a single concurrent work cell [5992-50]Robinson, T. / Brooker, P. / Bozak, R. / Lee, D. A. / SPIE et al. | 2005
-
Real-world impacts of inverse lithography technology [5992-72]Ho, J. / Wang, Y. / Wu, X. / Leitermann, W. / Lin, B. / Shieh, M. F. / Sun, J. / Lin, O. / Lin, J. / Liu, Y. et al. | 2005
-
How large MEEF is acceptable for the low-k~1 lithography? [5992-97]Nam, D. / Lee, D.-G. / Kim, B. / Moon, S.-Y. / Choi, S.-W. / Han, W.-S. / SPIE et al. | 2005
-
Study toward model-based DRC verification [5992-121]Torres, J. A. / Cobb, N. / SPIE et al. | 2005
-
Optimal distributed computing resources for mask synthesis and tape-out in production environment: an economic analysis [5992-148]Cork, C. / Chacko, M. / Levi, S. / SPIE et al. | 2005
-
Full-chip poly gate critical dimension control using model based lithography verification [5992-186]Zhang, D. N. / Kim, J. / Wang, L. / Tang, Z. / SPIE et al. | 2005
-
DfM requirements and ROI analysis for system-on-chip [5992-15]Balasinski, A. / SPIE et al. | 2005
-
Mask lithography assessment for 45nm node technology [5992-29]Mackay, R. S. / Kamberian, H. / Rockwell, B. / SPIE et al. | 2005
-
Advanced mask cleaning techniques for sub-100-nm technology nodes [5992-53]Papanu, J. S. / Gouk, R. / Franklin, C. / Chen, H.-W. / Verhaverbeke, S. / Ko, A. / Child, K. / Boelen, P. / Shrauti, S. / Martinez, E. et al. | 2005
-
A practical alternating PSM modeling and OPC approach to deal with 3D mask effects for the 65nm node and beyond [5992-66]Drapeau, M. / van Adrichem, P. J. M. / van Look, L. / Kasprowicz, B. S. / SPIE et al. | 2005
-
Electrostatic chucking and EUVL mask flatness analysis [5992-128]Nataraju, M. / Mikkelson, A. / Sohn, J. / Engelstad, R. L. / Lovell, E. G. / SPIE et al. | 2005
-
Measuring line-edge roughness of masks with DUV light [5992-160]Stokowski, S. / Alles, D. / SPIE et al. | 2005
-
Image placement accuracy of single-membrane stencil masks for e-beam lithography [5992-162]Kitada, M. / Yusa, S. / Kuwahara, N. / Fujita, H. / Takikawa, T. / Sano, H. / Hoga, M. / SPIE et al. | 2005
-
Characterization and qualification of the Jeol JBX9000-MVII e-beam writer for the 90nm node and its integration in a photomask manufacturing line [5992-167]Raffaele, L. / Pogliani, C. / Cassol, G. L. / Bianucci, G. / Murai, S. / Murata, S. / Hikichi, R. / Katsuki, H. / Noguchi, S. / SPIE et al. | 2005
-
A simulation-based defect disposition flow for incoming mask quality assurance [5992-185]Lee, D. / Chu, B. / Fang, T. Y. / Shieh, W. B. / Hu, S. / Chen, J.-H. / Morgan, R. / SPIE et al. | 2005
-
Noble development system to achieve defect-free process for 65nm node photomasks [5992-22]Sasaki, H. / Sanki, S. / Hikichi, R. / Ogawa, K. / Naito, A. / Sato, Y. / Kushida, Y. / Ishiwata, N. / Maruyama, H. / SPIE et al. | 2005
-
Evaluation of transparent etch stop layer phase shift mask patterning and comparison with the single trench undercut approach [5992-28]Rody, Y. / Martin, P. / Couderc, C. / Sixt, P. / Gardin, C. / Lucas, K. / Patterson, K. / Miramond-Collet, C. / Belledent, J. / Boone, R. et al. | 2005
-
Pattern fidelity performance from next-generation DUV laser lithography on 65nm masks and wafers [5992-31]Kiefer, R. / Buck, P. / Garg, V. / Hickethier, J. / Jackson, C. / Manfredo, J. / Morgante, C. / Allen, P. / White, M. / SPIE et al. | 2005
-
The surface treatment for prevention of growing defect [5992-52]Jun, J.-Y. / Ryu, J.-S. / Choi, Y.-Y. / Han, O. / SPIE et al. | 2005
-
Characterization of photomask surface cleaning with cryogenic aerosol technique [5992-54]Banerjee, S. / Lin, C. C. / Su, S. / Bowers, C. / Chung, H. F. / Brandt, W. / Tang, K. / SPIE et al. | 2005
-
Model-based insertion and optimization of assist features with application to contact layers [5992-71]Shang, S. D. / Granik, Y. / Swallow, L. / Zhang, L. / Brist, T. / Torres, A. / Hung, C. / Liu, Q. / SPIE et al. | 2005
-
Modeling OPC complexity for design for manufacturability [5992-69]Gupta, P. / Kahng, A. B. / Muddu, S. / Nakagawa, S. / Park, C. / SPIE et al. | 2005
-
Mask cleaning strategies: particle elimination with minimal surface damage [5992-117]Osborne, S. / Nanningas, M. / Takahashi, H. / Woster, E. / Kanda, C. / Tibbe, J. / SPIE et al. | 2005
-
Influence of organic contamination on photomask performance [5992-116]Chovino, C. / Helbig, S. / Dieckmann, W. / Bubke, K. / Dress, P. / SPIE et al. | 2005
-
Cleaning of low thermal expansion material substrates for mask blanks in EUV lithography [5992-198]Eichenlaub, S. / Dietze, S. / Ikuta, Y. / Popp, H. / Goncher, K. / Marmillion, P. / Rastegar, A. / SPIE et al. | 2005
-
Determination of mask layer stress by placement metrology [5992-130]Butschke, J. / Buttgereit, U. / Cotte, E. / Hess, G. / Irmscher, M. / Seitz, H. / SPIE et al. | 2005
-
CD measurement of points indicated in photomask writing data [5992-151]Satoh, H. / Ataka, M. / Anazawa, N. / SPIE et al. | 2005
-
Comparative study of simulations and experiments for contact array patterns on attenuated phase shifting mask [5992-188]Henkel, T. / Sczyrba, M. / Noelscher, C. / SPIE et al. | 2005
-
A novel strategy of lithography-error-budget optimization for the 65-nm node: mask specifications for hyper-NA imaging [5992-35]Iwase, K. / Ishikawa, K. / Takeuchi, K. / Ozawa, K. / Uesawa, F. / SPIE et al. | 2005
-
Photomask registration specification and its impact on FLASH memory devices [5992-57]Carpi, E. / Brown, S. / Tan, F. / Edwards, R. / SPIE et al. | 2005
-
Compensating mask topography effects in CPL through-pitch solutions toward the 45nm node [5992-61]Bekaert, J. / Philipsen, V. / Vandenberghe, G. / van den Broeke, D. / Degel, W. / Zibold, A. / SPIE et al. | 2005
-
Template manufacturing for nanoimprint lithography using existing infrastructure [5992-87]Irmscher, M. / Butschke, J. / Hess, G. / Letzkus, F. / Renno, M. / Sailer, H. / Schulz, H. / Schwersenz, A. / Thompson, E. / Vratzov, B. et al. | 2005
-
A study of organic contamination control on photomask surface for 65-nm tech node [5992-114]Kim, J.-M. / Kang, H.-J. / Kim, Y.-D. / Cho, H.-J. / Choi, S.-S. / SPIE et al. | 2005
-
Investigation of sulfate free clean processes for next generation lithography [5992-115]Chovino, C. / Helbig, S. / Haschke, P. / Saule, W. / SPIE et al. | 2005
-
Evaluation of alternative capping layers for EUVL mask ML blank [5992-135]Yan, P. / Spiller, E. / Gullikson, E. / Hill, S. / SPIE et al. | 2005
-
A comprehensive reticle handling and storage approach for optimized fab yields [5992-147]Nobe, A. / Kawashima, H. / Kurikawa, A. / Kasahara, H. / Ohta, F. / Okubo, Y. / SPIE et al. | 2005
-
Mask rule check for inspection of leading-edge photomask [5992-150]Sakata, W. / Yamasaki, K. / Narukawa, S. / Hayashi, N. / SPIE et al. | 2005
-
Scatterometry based CD and profile metrology of MoSi/quartz structures [5992-153]Yedur, S. / Vuong, V. / Shivaprasad, D. / Sarathy, T. P. / Tabet, M. / Korlahalli, R. / Hu, J. / SPIE et al. | 2005
-
Methods for benchmarking photolithography simulators: Part III [5992-189]Smith, M. D. / Graves, T. / Byers, J. D. / Mack, C. A. / SPIE et al. | 2005
-
Impact of DUV exposure on reticle repairs [5992-47]Tolani, V. L. / Chegwidden, S. / Buenconsejo, E. C. / Tanzil, D. / Bald, D. J. / SPIE et al. | 2005
-
Applying assist features to improve two dimensional feature process robustness [5992-60]Melvin, L. S. / Painter, B. D. / Barnes, L. D. / SPIE et al. | 2005
-
Image imbalance compensation in alternating phase-shift masks towards the 45-nm node through-pitch imaging [5992-65]Van Look, L. / Kasprowicz, B. / Zibold, A. / Degel, W. / Vandenberghe, G. / SPIE et al. | 2005
-
First 65-nm tape-out using inverse lithography technology (ILT) [5992-67]Hung, C.-Y. / Zhang, B. / Tang, D. / Guo, E. / Pang, L. / Liu, Y. / Moore, A. / Wang, K. / SPIE et al. | 2005
-
Enabling incremental RET to exploit hierarchical structure across multiple designs for sub-100 nm lithography [5992-93]Laurance, M. / Anderson, M. / Pilloff, M. / SPIE et al. | 2005
-
Investigation of shipping material and reticle storage environment to dark loss stability of chemically amplified resist [5992-113]Deverich, C. / Rabidoux, P. / Racette, K. / SPIE et al. | 2005
-
DFM for manufacturers and designers [5992-16]Hurat, P. / Cote, M. / SPIE et al. | 2005
-
Production performance of a Sigma7300 DUV mask writer [5992-32]Olshausen, B. / Chandramouli, M. / Wall, D. / Auches, B. / Cole, D. / SPIE et al. | 2005
-
Semiconductor pattern analysis with induced polarization [5992-58]Chen, T. / Milster, T. / Yang, S. H. / SPIE et al. | 2005
-
Applying reconfigurable RET across process window to create more robust manufacturing designs [5992-70]Laurance, M. / Vikram, A. / Ma, M. / Volk, W. / Anderson, M. / Andrews, S. / Su, B. / Du, H. / Verma, G. / SPIE et al. | 2005
-
Haze defect control and containment in a high volume DRAM manufacturing environment [5992-119]Chen, J. X. / Nguyen, M. / Arasaki, O. / Maraquin, T. / Sawyer, D. / Morrison, P. / SPIE et al. | 2005
-
Inspection and planarization of programmed pit masks for EUV lithography [5992-134]Seo, S.-C. / Han, S.-I. / Ikuta, Y. / Kearney, P. / Ma, A. / Krick, D. / SPIE et al. | 2005
-
Improved modeling of fogging and loading effect correction [5992-165]Lee, S. / Kim, B. / Han, H. / Nam, D. / Moon, S. / Choi, S. / Han, W. / SPIE et al. | 2005
-
Mask design rules (45 nm): time for standardization [5992-13]Mason, M. / Progler, C. J. / Martin, P. / Ham, Y.-M. / Dillon, B. / Pack, R. / Heins, M. / Gookassian, J. / Garcia, J. / Boksha, V. et al. | 2005
-
Post coat delay effects on chemically amplified resists and storage condition impacts [5992-21]Sullivan, D. B. / Racette, K. C. / Barrett, M. J. / Couture, R. B. / SPIE et al. | 2005
-
Haze prevention and phase/transmission preservation through cleaning process optimization [5992-51]Qin, J. / Zhang, Y. / Delgado, R. / Rockwell, B. / Tan, F. / Phan, K. / Berger, L. / Liu, M. / Dietez, U. / SPIE et al. | 2005
-
Optical DC overlay measurement in the 2nd level process of 65 nm alternating phase-shift mask [5992-62]Ma, J. / Han, K. / Lee, K. / Korobko, Y. / Silva, M. / Chavez, J. / Irvine, B. / Henrichs, S. / Chakravorty, K. / Olshausen, R. et al. | 2005
-
Advanced e-beam CAR resist evaluation for 65nm generation [5992-112]Chan, G. / Lin, O. / Tseng, W. / Lee, B. / Huang, T. / Kozuma, M. / SPIE et al. | 2005
-
Mask cleaning strategies: haze elimination [5992-118]Osborne, S. / Nanninga, M. / Takahashi, H. / Woster, E. / SPIE et al. | 2005
-
Model-based DRC for design and process integration [5992-122]Hung, C.-Y. / Jost, A. M. / Liu, Q. / SPIE et al. | 2005
-
Acoustic streaming effects in megasonic cleaning of EUV photomasks: a continuum model [5992-133]Kapila, V. / Deymier, P. A. / Shende, H. / Pandit, V. / Raghavan, S. / Eschbach, F. O. / SPIE et al. | 2005
-
Development of an actinic photomask review and phase metrology tool for 193-nm lithography [5992-154]Merriam, A. J. / Jacob, J. J. / SPIE et al. | 2005
-
Advanced edge roughness measurement application for mask metrology [5992-158]Chase, D. / Kris, R. / Katz, R. / Tam, A. / Gershtein, L. / Falah, R. / Wertsman, N. / SPIE et al. | 2005
-
FPGA chip performance improvement with gate shrink through alternating PSM 90nm process [5992-182]Yu, C.-C. / Shieh, M.-F. / Liu, E. / Lin, B. / Ho, J. / Wu, X. / Panaite, P. / Chacko, M. / Zhang, Y. / Lei, W. et al. | 2005
-
Advanced reticle inspection challenges and solutions for 65nm node [5992-12]Kim, W. D. / Eickhoff, M. D. / Kim, D. / McCurley, S. / SPIE et al. | 2005
-
Impact of photolithography and mask variability on interconnect parasitics [5992-14]Tian, Y. / Shi, W. / Mercer, M. R. / SPIE et al. | 2005
-
A films based approach to intensity imbalance correction for 65 nm node c:PSM [5992-19]Cottle, R. / Sixt, P. / Lassiter, M. / Cangemi, M. / Martin, P. / Progler, C. / SPIE et al. | 2005
-
Approaching zero etch bias at Cr etch process [5992-24]Nesladek, P. / Falk, N. / Wiswesser, A. / Koch, R. / Sass, B. / SPIE et al. | 2005
-
A study of Cr to Mosi in situ dry etching process to reduce plasma induced defect [5992-25]Jang, I.-Y. / Park, Y.-J. / Kwon, H.-J. / Moon, S.-Y. / Choi, S.-W. / Han, W.-S. / SPIE et al. | 2005
-
Demonstration of a new mask structure using a bonded hard pellicle [5992-34]Thony, P. / Biasse, B. / Zussy, M. / Bianucci, G. / Cantu, P. / Henry, D. / SPIE et al. | 2005
-
CD metrology of binary and phase shift masks using scatterometry [5992-55]Lee, K. M. / Yedur, S. / Tabet, M. / Tavassoli, M. / SPIE et al. | 2005
-
Double exposure technique for 45nm node and beyond [5992-63]Hsu, S. / Park, J. / Van Den Broeke, D. / Chen, J. F. / SPIE et al. | 2005
-
EUVL mask manufacturing: technologies and results [5992-83]Letzkus, F. / Butschke, J. / Irmscher, M. / Sailer, H. / Dersch, U. / Holfeld, C. / SPIE et al. | 2005
-
Study of effects of sidewall angle on process window using 193nm CPL masks in a 300mm wafer manufacturing environment [5992-92]Cheng, Y. F. / Chou, Y. L. / Lin, C. L. / Huang, P. / SPIE et al. | 2005
-
A novel GDSII compression technique [5992-123]Pereira, M. / Baruah, B. / SPIE et al. | 2005
-
Predicting wafer-level IP error due to particle-induced EUVL reticle distortion during exposure chucking [5992-129]Ramaswamy, V. / Mikkelson, A. / Engelstad, R. / Lovell, E. / SPIE et al. | 2005
-
Expanding grayscale capability of direct-write grayscale photomask by using modified Bi/In compositions [5992-20]Poon, D. K. / Chapman, G. H. / Choo, C. / Wang, J. / Tu, Y. / La Haye, M. L. / SPIE et al. | 2005
-
The impact of attenuated phase shift mask topography on hyper-NA lithography [5992-36]Mack, C. A. / Smith, M. D. / Graves, T. / SPIE et al. | 2005
-
RIM-13: a high-resolution imaging tool for aerial image monitoring of EUV reticles [5992-85]Booth, M. / Brunton, A. / Cashmore, J. / Elbourn, P. / Elliner, G. / Gower, M. / Greuters, J. / Hirsch, J. / Kling, L. / McEntee, N. et al. | 2005
-
Design and fabrication of highly complex topographic nano-imprint template for dual Damascene full 3-D imprinting [5992-88]MacDonald, S. / Hughes, G. / Stewart, M. / Palmieri, F. / Willson, C. G. / SPIE et al. | 2005
-
Full-chip level MEEF analysis using model based lithography verification [5992-95]Kim, J. / Wang, L. / Zhang, D. / Tang, Z. / SPIE et al. | 2005
-
Hybrid ORC method for low K1 process [5992-94]Nam, B. / Choi, J. / Ahn, Y. / Kim, C. / Yune, H. / Moon, J. / Yim, D. / Kim, J. / SPIE et al. | 2005
-
Technical summary for the Foundry Data eXchange system [5992-124]Braun, C. P. / Krupka, G. / Peiffer, F. R. / Polk, T. A. / Roadcap, E. E. / Sosik, J. M. / Van Allen, G. P. / Wilkinson, W. P. / SPIE et al. | 2005
-
Characteristics of RIE lag and pattern density effect in alternating aperture phase-shift masks [5992-126]Chang, B.-S. / Chang, Y.-Y. / Bang, H.-S. / Lee, I.-S. / Kim, L.-J. / Ahn, C.-N. / Kim, H.-S. / SPIE et al. | 2005
-
An integrated approach to the analysis of imprint vs. optical lithography, or why this is not just a mask discussion [5992-145]Maltabes, J. G. / Mackay, R. S. / Cottle, R. / SPIE et al. | 2005
-
Calibration procedures and application of the PTB photomask CD standard [5992-159]Hassler-Grohne, W. / Frase, C. G. / Czerkas, S. / Dirscherl, K. / Bodermann, B. / Mirande, W. / Ehret, G. / Bosse, H. / SPIE et al. | 2005
-
Analysis of various blur effects on mask CD distortion [5992-166]Han, H.-S. / Lee, S.-H. / Kim, B.-G. / Moon, S.-Y. / Choi, S.-W. / Han, W.-S. / SPIE et al. | 2005
-
Area measurements for simulation-based dispositioning of masks [5992-184]Luk-Pat, G. / Chen, J.-H. / Morgan, R. / Schneider, E. / SPIE et al. | 2005
-
Improvement in defect classification efficiency by grouping disposition for reticle inspection [5992-11]Lai, R. / Hsu, L. T. H. / Chang, P. / Ho, C. H. / Tsai, F. / Long, G. / Yu, P. / Miller, J. / Hsu, V. / Chen, E. et al. | 2005
-
Antireflection solutions for next generation 193-nm binary and phase-shifting masks [5992-18]Becker, H. / Renno, M. / Hermanns, U. / Seitz, H. / Buttgereit, U. / Knapp, K. / Hess, G. / SPIE et al. | 2005
-
Gray scaling in high performance optical pattern generators [5992-30]Martinsson, H. / Sandstrom, T. / SPIE et al. | 2005
-
Mobile metrology for advanced photomask manufacturing [5992-56]MacDonald, P. / Goudy, M. P. / Koty, D. / Omoregie, H. / Webster, M. D. / SPIE et al. | 2005
-
Calibration of compact OPC models using SEM contours [5992-68]Granik, Y. / SPIE et al. | 2005
-
Impact of mask CD error on OPC performance for 65nm technology M1 level [5992-91]Park, O. / Obserschmidt, J. / Li, W.-K. / SPIE et al. | 2005
-
Shot reduction technique for character projection lithography using combined cell stencil [5992-96]Kazama, T. / Ikeda, M. / Asada, K. / SPIE et al. | 2005
-
Post-OPC verification using a full-chip pattern-based simulation verification method [5992-100]Hung, C.-Y. / Wang, C.-H. / Ma, C. / Zhang, G. / SPIE et al. | 2005
-
Reticle haze measurement by spectroscopic elipsometry [5992-156]Kim, Y.-H. / Kim, S.-J. / Park, J.-B. / Jung, M.-L. / Kim, S.-H. / Park, S.-W. / Kyoung, J.-S. / An, I.-S. / Oh, H.-K. / SPIE et al. | 2005
-
Mask repair for the 65 nm technology node [5992-171]Robinson, T. / Dinsdale, A. / Bozak, R. / White, R. / Lee, D. A. / Roessler, K. / SPIE et al. | 2005