Proximity effects correction for sub-10nm patterning node (English)
- New search for: Jedrasik, Piotr
- New search for: Tsunoda, Dai
- New search for: Shoji, Masahiro
- New search for: Tsunoe, Hiroyuki
- New search for: Jedrasik, Piotr
- New search for: Tsunoda, Dai
- New search for: Shoji, Masahiro
- New search for: Tsunoe, Hiroyuki
In:
Proc. SPIE
;
7638
; 76383B
;
2010
-
ISBN:
-
ISSN:
- Conference paper / Electronic Resource
-
Title:Proximity effects correction for sub-10nm patterning node
-
Contributors:Jedrasik, Piotr ( author ) / Tsunoda, Dai ( author ) / Shoji, Masahiro ( author ) / Tsunoe, Hiroyuki ( author )
-
Conference:Metrology, Inspection, and Process Control for Microlithography XXIV ; 2010 ; San Jose,California,United States
-
Published in:Proc. SPIE ; 7638 ; 76383B
-
Publisher:
- New search for: SPIE
-
Publication date:2010-03-15
-
ISBN:
-
ISSN:
-
DOI:
-
Type of media:Conference paper
-
Type of material:Electronic Resource
-
Language:English
-
Source:
Table of contents conference proceedings
The tables of contents are generated automatically and are based on the data records of the individual contributions available in the index of the TIB portal. The display of the Tables of Contents may therefore be incomplete.
- 76380A
-
Improved CD control for 45-40 nm CMOS logic patterning: anticipation for 32-28 nmLe Gratiet, Bertrand / Sundermann, Frank / Massin, Jean / Decaux, Marianne / Thivolle, Nicolas / Baron, Fabrice / Ostrovsky, Alain / Monget, Cedric / Chapon, Jean Damien / Blancquaert, Yoann et al. | 2010
- 76380B
-
Process variation monitoring (PVM) by wafer inspection tool as a complementary method to CD-SEM for mapping field CDU on advanced production devicesKim, Dae Jong / Yoo, Hyung Won / Kim, Chul Hong / Lee, Hak Kwon / Kim, Sung Su / Bae, Koon Ho / Spielberg, Hedvi / Lee, Yun Ho / Levi, Shimon / Bustan, Yariv et al. | 2010
- 76380D
-
Reducing the impact of reticle CD-non-uniformity of multiple structures by dose corrections based on aerial image measurementsButtgereit, Ute / Birkner, Robert / Scheruebl, Thomas / de Putter, Sander / Kastrup, Bernardo / Finders, Jo et al. | 2010
- 76380E
-
New measurement technology for CD and pattern profile variation using optical Fourier spaceHayano, Fuminori / Kawai, Akitoshi / Uchikawa, Toshio / Endo, Kazumasa / Yoshino, Kiminori / Yamazaki, Yuuichiro / Nagashima, Kuniharu / Tsuchiya, Kenji et al. | 2010
- 76380F
-
Application of automated topography focus corrections for volume manufacturingWiltshire, Timothy J. / Liegl, Bernhard R. / Hwang, Emily M. / Lucksinger, Mark R. et al. | 2010
- 76380H
-
Defect metrology challenges at the 11-nm node and beyondCrimmins, Timothy F. et al. | 2010
- 76380I
-
Systematic and random defects control with design-based metrologyYang, Hyunjo / Kim, Jungchan / Lee, Taehyeong / Jung, Areum / Yoo, Gyun / Yim, Donggyu / Park, Sungki / Hasebe, Toshiaki / Yamamoto, Masahiro et al. | 2010
- 76380J
-
The limits and extensibility of optical patterned defect inspectionSilver, Richard M. / Barnes, Bryan M. / Sohn, Yeungjoon / Quintanilha, Richard / Zhou, Hui / Deeb, Chris / Johnson, Mark / Goodwin, Milton / Patel, Dilip et al. | 2010
- 76380K
-
Advanced lithography: wafer defect scattering analysis at DUVMeshulach, Doron / Dolev, Ido / Yamazaki, Yuuichiro / Tsuchiya, Kenji / Kaneko, Makoto / Yoshino, Kiminori / Fujii, Takayoshi et al. | 2010
- 76380L
-
After development inspection defectivity studies of an advanced memory deviceKim, Hyung-Seop / Lee, Byoung-Ho / Ma, Eric / Wang, Fei / Zhao, Yan / Kanai, Kenichi / Xiao, Hong / Jau, Jack et al. | 2010
- 76380M
-
Defectivity decrease in the photolithography process by AMC level reduction through implementation of novel filtration and monitoring solutionsPic, Nicolas / Martin, Christophe / Vitalis, Michel / Calarnou, Thierry / Camlay, Daniel / Grosjean, Catherine / Lanier, Arnaud / Kames, Jost / Acksel, Alexander / Galvez, Christophe et al. | 2010
- 76380N
-
Statistically accurate analysis of line width roughness based on discrete power spectrumHiraiwa, Atsushi / Nishida, Akio et al. | 2010
- 76380O
-
Measurements and sensitivities of LWR in poly spacersAyal, Guy / Shauly, Eitan / Levi, Shimon / Siany, Amit / Adan, Ofer / Shacham-Diamand, Yosi et al. | 2010
- 76380P
-
LER detection using dark field spectroscopic reflectometryBrill, Boaz / Gov, Shahar / Hak, Dani / Sorin, Valery / Marcu, Tal / Bunday, Benjamin et al. | 2010
- 76380Q
-
CD-SEM metrology of spike detection on sub-40 nm contact holesMomonoi, Yoshinori / Osabe, Taro / Yamaguchi, Atsuko / Mclellan Martin, Erin / Koyanagi, Hajime / Colburn, Matthew E. / Torii, Kazuyoshi et al. | 2010
- 76380R
-
Model-based analysis of SEM images to automatically extract linewidth, edge roughness, and wall angleBabin, S. / Bay, K. / Machin, M. et al. | 2010
- 76380S
-
Proximity-associated errors in contour metrologyVillarrubia, John S. / Dixson, Ronald G. / Vladár, András E. et al. | 2010
- 76380T
-
Monitoring and characterization of metal-over-contact based edge-contour extraction measurement followed by electrical simulationShauly, Eitan / Rotstein, Israel / Schwarzband, Ishai / Edan, Ofer / Levi, Shimon et al. | 2010
- 76380U
-
Electrical validation of through process OPC verification limitsJaiswal, Omprakash / Kuncha, Rakesh / Bharat, Taksh / Madangarli, Vipin / Conrad, Edward / Bruce, James / Marokkey, Sajan et al. | 2010
- 76380V
-
Computational inspection applied to a mask inspection system with advanced aerial imaging capabilityPang, Linyong / Peng, Danping / He, Lin / Chen, Dongxue / Dam, Thuc / Tolani, Vikram / Tam, Aviram / Staud, Wolf et al. | 2010
- 76380W
-
Hybrid reference metrology exploiting patterning simulationRana, Narender / Archie, Chas et al. | 2010
- 76380X
-
Scatterometry metrology validation with respect to process controlLeray, Philippe / Cheng, Shaunee / Laidler, David / D'havé, Koen / Charley, Anne-Laure et al. | 2010
- 76380Y
-
Smaller, smarter, faster, and more accurate: the new overlay metrologyFelix, Nelson M. / Gabor, Allen H. / Muth, William A. / Ausschnitt, Christopher P. et al. | 2010
- 76381B
-
Reference material (RM) 8820: a versatile new NIST standard for nanometrologyPostek, Michael T. / Vladar, Andras E. / Keery, William / Bishop, Michael / Bunday, Benjamin / Allgair, John et al. | 2010
- 76381C
-
Dimensional metrology with sub-nanometer uncertainty: unique role of AFM as the referenceUkraintsev, Vladimir A. / Foucher, Johann et al. | 2010
- 76381D
-
Calibration of 1-nm SiC step height standardsVorburger, T. V. / Hilton, A. / Dixson, R. G. / Orji, N. G. / Powell, J. A. / Trunek, A. J. / Neudeck, P. G. / Abel, P. B. et al. | 2010
- 76381E
-
Sub-50-nm measurements using a 193-nm angle-resolved scatterfield microscopeQuintanilha, R. / Sohn, Y. J. / Barnes, B. M. / Silver, R. et al. | 2010
- 76381F
-
Effect of bandwidth and numerical aperture in optical scatterometryGermer, Thomas A. / Patrick, Heather J. et al. | 2010
- 76381G
-
Use of multiple azimuthal angles to enable advanced scatterometry applicationsSendelbach, Matthew / Vaid, Alok / Herrera, Pedro / Dziura, Ted / Zhang, Michelle / Srivatsa, Arun et al. | 2010
- 76381H
-
Simultaneous measurement of optical properties and geometry of resist using multiple scatterometry gratingsVaid, Alok / Sendelbach, Matthew / Moore, Daniel / Brunner, Timothy / Felix, Nelson / Rawat, Pawan / Bozdog, Cornel / Kim, Helen / Sendler, Michael / Stepanov, Stanislav et al. | 2010
- 76381I
-
Stability of polarimetric grating characterization with beam spot larger than grating boxFoldyna, M. / Licitra, C. / De Martino, A. et al. | 2010
- 76381J
-
Scatterometry characterization of spacer double patterning structuresDasari, Prasad / Hu, Jiangtao / Liu, Zhuan / Tan, Asher / Kritsun, Oleg / Volkman, Catherine / Bencher, Chris et al. | 2010
- 76381K
-
Sub-nanometer calibration of CD-SEM line width by using STEMTakamasu, Kiyoshi / Kuwabara, Kazuki / Takahashi, Satoru / Mizuno, Takeshi / Kawada, Hiroki et al. | 2010
- 76381L
-
Electron-beam induced photoresist shrinkage influence on 2D profilesBunday, Benjamin / Cordes, Aaron / Allgair, John / Aguilar, Daniel Bellido / Tileli, Vasiliki / Thiel, Bradley / Avitan, Yohanan / Peltinov, Ram / Bar-Zvi, Mayaan / Adan, Ofer et al. | 2010
- 76381O
-
SEM image modeling using the modular Monte Carlo model MCSEMJohnsen, K.-P. / Frase, C. G. / Bosse, H. / Gnieser, D. et al. | 2010
- 76381P
-
A comparison of advanced overlay technologiesDasari, Prasad / Smith, Nigel / Goelzer, Gary / Liu, Zhuan / Li, Jie / Tan, Asher / Koh, Chin Hwee et al. | 2010
- 76381Q
-
Detection of lateral CD shift with scatterometry on grating structures in production layoutHuang, Jacky / Hu, Jiarui / Wang, Willie / Lee, Ya-Ping / Ke, Chih-Ming / Gau, Tsai-Sheng et al. | 2010
- 76381R
-
Automated optimized overlay sampling for high-order processing in double patterning lithographyKoay, Chiew-seng / Colburn, Matthew E. / Izikson, Pavel / Robinson, John C. / Kato, Cindy / Kurita, Hiroyuki / Nagaswami, Venkat et al. | 2010
- 76381S
-
A novel robust diffraction-based metrology concept for measurement and monitoring of critical layers in memory devicesHam, Boo-Hyun / Kang, Hyun-Jea / Hwang, Chan / Yeo, Jeong-Ho / Kim, Cheol-Hong / Nam, Suk-Woo / Moon, Joo-Tae / Coogans, Martyn / den Boef, Arie / Ryu, Chan-Ho et al. | 2010
- 76381T
-
Concerning the influence of pattern symmetry on CD-SEM local overlay measurements for double patterning of complex shapesHotta, Shoji / Sutani, Takumichi / Sugiyama, Akiyuki / Ikeno, Masahiko / Yamaguchi, Atsuko / Torii, Kazuyoshi / Halle, Scott / Moore, Daniel / Archie, Chas et al. | 2010
- 76381U
-
Metrology and process control: dealing with measurement uncertaintyPotzick, James et al. | 2010
- 76381V
-
Spatial signature in local overlay measurements: what CD-SEM can tell us and optical measurements can notHalle, Scott / Moore, Daniel / Archie, Chas / Hotta, Shoji / Sutani, Takumichi / Sugiyama, Akiyuki / Ikeno, Masahiko / Yamaguchi, Atsuko / Torii, Kazuyoshi et al. | 2010
- 76381W
-
Metrology data cleaning and statistical assessment flow for modeling applicationsWard, Brian S. / Berthiaume, Sylvain / Brist, Travis / Brooker, Peter et al. | 2010
- 76381X
-
High-accuracy OPC-modeling by using advanced CD-SEM based contours in the next-generation lithographyHibino, Daisuke / Shindo, Hiroyuki / Abe, Yuichi / Hojyo, Yutaka / Fenger, Germain / Do, Thuy / Kusnadi, Ir / Sturtevant, John L. / De Bisschop, Peter / Van de Kerkhove, Jeroen et al. | 2010
- 76381Y
-
OPC model error study through mask and SEM measurement errorTop, Mame Kouna / Fuard, David / Farys, Vincent / Schiavone, Patrick et al. | 2010
- 76381Z
-
3D-AFM tip to tip variations and impact on measurement performancesFoucher, A.-L. / Foucher, J. / Dourthe, L. et al. | 2010
- 76382A
-
Improved scanner matching using scanner fleet matcher (SFM)Chiu, Shian-Huan Cooper / Lee, Chin-Lung / Yu, Sheng-Hsiung / Fu, Kai-Lin / Tung, Min-Hin / Chen, Po-Chih / Huang, Chao-Tien / Yu, Chien-Chun Elsie / Huang, Chin-Chou K. / Robinson, John C. et al. | 2010
- 76382B
-
Achieving optimum diffraction based overlay performanceLeray, Philippe / Laidler, David / Cheng, Shaunee / Coogans, Martyn / Fuchs, Andreas / Ponomarenko, Mariya / van der Schaar, Maurits / Vanoppen, Peter et al. | 2010
- 76382C
-
Advanced diffraction-based overlay for double patterningLi, Jie / Liu, Yongdong / Dasari, Prasad / Hu, Jiangtao / Smith, Nigel / Kritsun, Oleg / Volkman, Catherine et al. | 2010
- 76382E
-
In-depth overlay contribution analysis of a poly-layer reticleLaske, Frank / Whittey, J. / Roeth, K.-D. / McCormack, J. / Adam, D. / Bender, J. / Berglund, C. N. / Takac, M. / Chou, Seurien et al. | 2010
- 76382F
-
The impact of total measurement uncertainty (TMU) on overlay error correctionShin, Jangho / Yeo, Jeongho / Kang, Young-Seog / Han, Woosung et al. | 2010
- 76382G
-
Overlay sampling optimization by operating characteristic curves empirically estimatedKasa, Kentaro / Asano, Masafumi / Ikeda, Takahiro / Takakuwa, Manabu / Komine, Nobuhiro / Ishigo, Kazutaka et al. | 2010
- 76382H
-
Improvement of the process overlay control for sub-40-nm DRAMPark, Sarohan / Lee, Eun-Ha / Shin, Eun-Kyoung / Ryu, Yoon-Jung / Shin, Hye-Jin / Hwang, Seung-Hyun / Lim, Hee-Youl / Sun, Kyu-Tae / Eom, Tae-Seung / Kwak, Noh-Jung et al. | 2010
- 76382I
-
Mask registration and wafer overlayLee, Chulseung / Bang, Changjin / Kim, Myoungsoo / Kang, Hyosang / Lee, Dohwa / Jeong, Woonjae / Lim, Ok-Sung / Yoon, Seunghoon / Jung, Jaekang / Laske, Frank et al. | 2010
- 76382K
-
Overlay control strategy for 45/32nm RD and production ramp upYu, Tuan-Yen / Lin, Jun-Hung / Huang, Yong-Fa / Chen, Chien-Hao / Yu, Chun-Chi / Huang, Chin-Chou Kevin / Huang, Chien-Jen / Tien, David et al. | 2010
- 76382L
-
Development for 2D pattern quantification method on mask and waferMatsuoka, Ryoichi / Mito, Hiroaki / Toyoda, Yasutaka / Wang, Zhigang et al. | 2010
- 76382M
-
Contour-based self-aligning calibration of OPC modelsKusnadi, Ir / Do, Thuy / Granik, Yuri / Sturtevant, John L. / De Bisschop, Peter / Hibino, Daisuke et al. | 2010
- 76382N
-
From pin-point design based critical dimension metrology toward comprehensive evaluation of IC patterning integritySallee, Chris / Clark, Wayne / Lu, Bo Jou / Ukraintsev, Vladimir / Khvatkov, Vitali et al. | 2010
- 76382O
-
Application of model-based library approach to photoresist pattern shape measurement in advanced lithographyYasui, Naoki / Isawa, Miki / Ishimoto, Toru / Sekiguchi, Kohei / Tanaka, Maki / Osaki, Mayuka / Shishido, Chie / Hasegawa, Norio / Cheng, Shaunee et al. | 2010
- 76382P
-
Study on practical application to pattern top resist loss measurement by CD-SEM for high NA immersion lithographyIshimoto, Toru / Yasui, Naoki / Hasegawa, Norio / Tanaka, Maki / Cheng, Shaunee et al. | 2010
- 76382Q
-
Comparison of different algorithms to determine areas from SEM imagesJohnsen, K.-P. / Frase, C. G. / Bosse, H. / Yonekura, I. / Higuchi, M. / Richter, J. et al. | 2010
- 76382R
-
Evaluation of 25-nm pitch SiO2/Si multilayer grating reference using CD-SEMKawada, Hiroki / Nakayama, Yoshinori / Yamamoto, Jiro et al. | 2010
- 76382S
-
Improved recipe quality control: from development to mass productionNakata, Yukari / Koshihara, Shunsuke / Kawada, Hiroki / Yang, Kyoungmo / Kakuta, Junichi / Kono, Akemi et al. | 2010
- 76382U
-
CD-SEM utility with double patterningBunday, Benjamin / Lipscomb, Pete / Koshihara, Shunsuke / Sukegawa, Shigeki / Kawai, Yasuo / Ojima, Yuki / Self, Andy / Page, Lorena et al. | 2010
- 76382V
-
Scatterometry simulator for multicore CPUShirasaki, Hirokimi et al. | 2010
- 76382W
-
Monitoring of critical dimensions in the sidewall-transferred double-patterning process using scatterometryTanaka, Keisuke / Lee, Joungchel et al. | 2010
- 76382Y
-
Mask defect inspection by detecting polarization variationsTakada, Akira / Shibuya, Masato et al. | 2010
- 76382Z
-
A novel defect detection optical system using 199-nm light source for EUVL maskHirano, Ryoichi / Kikuiri, Nobutaka / Hirono, Masatoshi / Ogawa, Riki / Sigemura, Hiroyuki / Takahara, Kenichi / Hashimoto, Hideaki et al. | 2010
- 76383B
-
Proximity effects correction for sub-10nm patterning nodeJedrasik, Piotr / Tsunoda, Dai / Shoji, Masahiro / Tsunoe, Hiroyuki et al. | 2010
- 76383D
-
Metrology qualification of EUV resistsGershtein, Liraz / Peltinov, Ram / Ventola, Stefano / Masia, Claudio / Xing, Chanjuan et al. | 2010
- 76383E
-
Error analysis of absolute testing based on even-odd functions methodJia, Xin / Xing, Tingwen / Lin, Wumei / Liao, Zhijie / Li, Yun et al. | 2010
- 76383F
-
Detection of photo resist residue on advanced gate layers using optical scattering and advanced analysis techniquesKu, Scott / Chang Chien, Ying-Hsueh / Yang, C. M. / Wang, Elvis / Chen, Damian / Young, Chris / Sun, Kevin / Yan, Jack / Dighe, Prasanna / Saldanha, Avinash et al. | 2010
- 76383H
-
AMC control in photolithography: the past decade in reviewWeineck, Gerald / Zastera, Dustin / Dallas, Andrew J. et al. | 2010
- 76383I
-
CD bias reduction in CD-SEM of very small line patterns: sidewall shape measurement using model-based library matching methodShishido, Chie / Tanaka, Maki / Osaki, Mayuka et al. | 2010
- 76383J
-
Evaluating SEM performance from the contrast transfer functionJoy, David C. / Michael, Joseph / Griffin, Brendan et al. | 2010
- 76383K
-
CD uniformity correction on 45-nm technology non-volatile memoryButtgereit, Ute / Birkner, Robert / Joyner, Mark / Graitzer, Erez / Cohen, Avi / Miyashita, Hiroyuki / Triulzi, Benedetta / Fasciszewski Zeballos, Alejandro / Romeo, Carmelo et al. | 2010
- 76383L
-
Overlay breakdown methodology on immersion scannerLam, Auguste / Pasqualini, Francois / de Caunes, Jean / Gatefait, Maxime et al. | 2010
- 76383M
-
Electrical effects of corner Serif OPCMcCallum, M. / Tsiamis, A. / Smith, S. / Hourd, A. C. / Stevenson, J. T. M. / Walton, A. J. et al. | 2010
- 76383N
-
Fabrication of a new BEAMETR chip for automatic electron beam size measurementBabin, S. / Bay, K. / Cabrini, S. / Dhuey, S. / Harteneck, B. / Machin, M. / Peroz, C. et al. | 2010
- 76383O
-
Application of analytic SEM to CD metrology at nanometer scaleHwu, Justin J. / Babin, Sergey / Bay, Konstantin et al. | 2010
- 76383P
-
A paradigm shift in scatterometry-based metrology solution addressing the most stringent needs of today as well as future lithographyKe, C. M. / Shih, Victor / Huang, Jacky / Chen, L. J. / Wang, Willie / Huang, G. T. / Yang, W. T. / Wang, Sophia / Liang, C. R. / Liu, H. H. et al. | 2010
- 76383Q
-
Investigation of periodical microstructures using coherent radiationJanusas, Giedrius / Palevicius, Arvydas / Bendikiene, Regita / Palevicius, Paulius et al. | 2010
- 76383R
-
Predictive chrome-film haze mask management for mass productionSim, Jeffrey / Lai, Tak-Seng / Bual, Riza / Tan, See Boon K. / Krishnappa, Aravinda / Wu, Derrick / Zhang, Xiaosong / Kim, Wooyong / Lee, Chit-Wei / Peng, Peter et al. | 2010
- 76383S
-
Results from a novel EUV mask inspection by 193nm DUV systemMangan, Shmoolik / Kantor, Aya / Shoshani, Nir / Jaffe, Asaf / Kasimov, Dror et al. | 2010
- 76383T
-
EUV mask: detection studies with Aera2Verdene, T. / Sagiv, A. / Malul, U. / Alumot, T. / Mangan, S. et al. | 2010
- 76383U
-
SI-traceable calibration of line-width roughness of 25nm NanoCD standardUkraintsev, V. A. / Helvey, M. / Guan, Y. / Mikeska, B. P. et al. | 2010
- 763801
-
Front Matter for Volume 7638| 2010
- 763802
-
3D-AFM enhancement for CD metrology dedicated to lithography sub-28-nm node requirementsFoucher, J. / Rana, N. / Dezauzier, C. et al. | 2010
- 763803
-
Robust characterization of small grating boxes using rotating stage Mueller matrix polarimeterFoldyna, M. / De Martino, A. / Licitra, C. / Foucher, J. et al. | 2010
- 763804
-
CDSEM focus/dose monitor for product applicationsArchie, Chas / Solecky, Eric / Rawat, Pawan / Brunner, Timothy / Yoshimoto, Kenji / Cornell, Roger / Adan, Ofer et al. | 2010
- 763805
-
Improving lithographic performance for 32 nmBusch, Jens / Parge, Anne / Seltmann, Rolf / Scholtz, Heike / Schultz, Bernd / Knappe, Uwe / Ruhm, Matthias / Noot, Marc / Woischke, Dieter / Luehrmann, Paul et al. | 2010
- 763806
-
New exposure tool management technology with quick focus measurement in half pitch 22nm generationFukazawa, Kazuhiko / Kitamura, Toshiaki / Takeda, Shinsuke / Fujimori, Yoshihiko / Kudo, Yuji / Hirukawa, Shigeru / Takemasa, Kengo / Kasai, Noriaki / Yamazaki, Yuuichiro / Yoshino, Kiminori et al. | 2010
- 763807
-
Full wafer macro-CD imaging for excursion control of fast patterning processesMarkwort, Lars / Kappel, Christoph / Kharrazian, Reza / Guittet, Pierre-Yves et al. | 2010
- 763808
-
Focus and dose deconvolution technique for improved CD control of immersion clustersCharley, Anne-Laure / D'havé, Koen / Leray, Philippe / Laidler, David / Cheng, Shaunee / Dusa, Mircea / Hinnen, Paul / Vanoppen, Peter et al. | 2010
- 763809
-
A single metrology tool solution for complete exposure tool setupLaidler, David / D'havé, Koen / Charley, Anne-Laure / Leray, Philippe / Cheng, Shaunee / Dusa, Mircea / Vanoppen, Peter / Hinnen, Paul et al. | 2010
- 763810
-
Calibration of 25-nm pitch grating reference by high-resolution grazing incidence x-ray diffractionIto, Yoshiyasu / Omote, Kazuhiko / Okazaki, Yuko / Nakayama, Yoshinori / Kawada, Hiroki et al. | 2010
- 763811
-
A new x-ray metrology for determining cross-sectional profile of semiconductor device patternOmote, Kazuhiko / Ito, Yoshiyasu / Okazaki, Yuko et al. | 2010
- 763812
-
Characterization of cross sectional profile of nanostructure line grating using small angle x-ray scatteringIshibashi, Y. / Koike, T. / Yamazaki, Y. / Ito, Y. / Okazaki, Y. / Omote, K. et al. | 2010
- 763814
-
Nanofabrication with a helium ion microscopeMaas, Diederik / van Veldhoven, Emile / Chen, Ping / Sidorkin, Vadim / Salemink, Huub / van der Drift, Emile .. / Alkemade, Paul et al. | 2010
- 763815
-
IR microscopy as an early electrical yield indicator in bonded wafer pairs used for 3D integrationRudack, Andrew C. / Singh, Pratibha / Taylor, J. Christopher / Mashevsky, Vadim et al. | 2010
- 763816
-
Enhanced capture rate for haze defects in production wafer inspectionAuerbach, Ditza / Shulman, Adi / Rozentsvige, Moshe et al. | 2010
- 763817
-
Preliminary results for photomask haze mitigation in a fab environmentKu, Thomas / LeClaire, Jeff / Tan, Sia Kim / Chua, Gek Soon / Bozak, Ron / White, Roy / Robinson, Tod / Archuletta, Michael / Lee, David et al. | 2010
- 763818
-
Use of wafer backside inspection and SPR to address systemic tool and process issuesCarlson, Alan / Bachiraju, Prasad / Clark, Jennifer / Trost, Dale et al. | 2010
- 763819
-
Reticle haze control: global update and technology roadmapKishkovich, Oleg / Kielbaso, Tom / Halbmaier, David et al. | 2010
- 763820
-
Micro-bridge defects: characterization and root cause analysisSantoro, Gaetano / Van den Heuvel, Dieter / Braggin, Jennifer / Rosslee, Craig / Leray, Philippe J. / Cheng, Shaunee / Jehoul, Christiane / Schreutelkamp, Robert / Hillel, Noam et al. | 2010
- 763821
-
Product and tool control using integrated auto macro defect inspection in the photolithography clusterTaylor, Ted L. / Shirley, Paul / Dixon, David / Yanagi, Shoichiro / Makimura, Eri et al. | 2010
- 763822
-
HVM die yield improvement as a function of DRSEM ADCMaheshwary, Sonu / Haas, Terry / McGarvey, Steve et al. | 2010
- 763823
-
Minimizing the outgassing of spin-coated organic materials to reduce defectsCarr, Brandy / Evers, April / Weimer, Marc / Smith, Brian / Leith, Jeff et al. | 2010
- 763824
-
High-resolution defect metrology for silicon BARC analysisSmith, Brian / McGarvey, Steve / Zhu, Zhimin / Wang, Yubao / Sullivan, Dan et al. | 2010
- 763825
-
Monitoring acidic and basic molecular contamination in leading edge lithography and metrology applications: quantitative comparison of solid state and impinger-based sampling methodsRiddle Vogt, Sarah / Landoni, Cristian et al. | 2010
- 763826
-
Method for wafer edge profile extraction using optical images obtained in edge defect inspection processOkamoto, Hiroaki / Sakaguchi, Naoshi / Hayano, Fuminori et al. | 2010
- 763827
-
Influence of error distribution shape on process capability analysisAsano, Masafumi / Ikeda, Takahiro et al. | 2010
- 763828
-
Monitoring and control of photoresist properties and CD during photoresist processingYang, Geng / Ngo, Yit-Sung / Putra, Andi S. / Ang, Kar-Tien / Tay, Arthur / Fang, Zhong-Ping et al. | 2010
- 763829
-
Utilizing run-to-run control to improve process capability and reduce waste in lithography: case studies in semiconductor and display manufacturing, and a vision for the futureMoyne, James et al. | 2010
- 763830
-
Aerial imaging qualification and metrology for source mask optimizationSagiv, Amir / Finders, Jo / Kazinczi, Robert / Engelen, Andre / Duray, Frank / Minnaert-Janssen, Ingrid / Mangan, Shmoolik / Kasimov, Dror / Englard, Ilan et al. | 2010
- 763832
-
Advances in the understanding of low molecular weight silicon formation and implications for control by AMC filtersLobert, Jürgen M. / Cate, Philip W. / Ruede, David J. / Wildgoose, Joseph R. / Miller, Charles M. / Gaudreau, John C. et al. | 2010
- 763833
-
Mask data rank and printability verification function of mask inspection systemTakahara, Kenichi / Tokita, Masakazu / Tsuchiya, Hideo / Yamabe, Masaki / Kikuiri, Nobutaka / Usuda, Kinya et al. | 2010
- 763834
-
Fast and precise measurements of the two-dimensional birefringence distribution in microlithographic lens materialsKatte, Henning T. et al. | 2010
- 763835
-
Scanner qualification with IntenCD based reticle error correctionElblinger, Yair / Finders, Jo / Demarteau, Marcel / Wismans, Onno / Minnaert Janssen, Ingrid / Duray, Frank / Ben Yishai, Michael / Mangan, Shmoolik / Cohen, Yaron / Parizat, Ziv et al. | 2010
- 763836
-
Resist-based polarization monitoring with phase-shift masks at 1.35 numerical aperture: tool-to-tool comparisonMcIntyre, Gregory / Tu, Richard / Robinson, Christopher et al. | 2010
- 763837
-
Roadmap for traceable calibration of a 5-nm pitch length standardChernoff, Donald A. / Burkhead, David L. et al. | 2010
-
3D-AFM enhancement for CD metrology dedicated to lithography sub-28-nm node requirements (Invited Paper) [7638-01]Foucher, J. / Rana, N. / Dezauzier, C. / SPIE (Society) et al. | 2010
-
Proximity-associated errors in contour metrology [7638-27]Villarrubia, J.S. / Dixson, R.G. / Vladar, A.E. / SPIE (Society) et al. | 2010
-
Electrical validation of through process OPC verification limits [7638-29]Jaiswal, O. / Kuncha, R. / Bharat, T. / Madangarli, V. / Conrad, E. / Bruce, J. / Marokkey, S. / SPIE (Society) et al. | 2010
-
Scatterometry metrology validation with respect to process control [7638-32]Leray, P. / Cheng, S. / Laidler, D. / D have, K. / Charley, A.-C. / SPIE (Society) et al. | 2010
-
A new x-ray metrology for determining cross-sectional profile of semiconductor device pattern [7638-36]Omote, K. / Ito, Y. / Okazaki, Y. / SPIE (Society) et al. | 2010
-
Stability of polarimetric grating characterization with beam spot larger than grating box [7638-53]Foldyna, M. / Licitra, C. / De Martino, A. / SPIE (Society) et al. | 2010
-
Detection of lateral CD shift with scatterometry on grating structures in production layout [7638-61]Huang, J. / Hu, J. / Wang, W. / Lee, Y.-P. / Ke, C.-M. / Gau, T.-S. / SPIE (Society) et al. | 2010
-
Metrology data cleaning and statistical assessment flow for modeling applications [7638-66]Ward, B.S. / Berthiaume, S. / Brist, T. / Brooker, P. / SPIE (Society) et al. | 2010
-
Evaluating SEM performance from the contrast transfer function [7638-129]Joy, D.C. / Michael, J. / Griffin, B. / SPIE (Society) et al. | 2010
-
Predictive chrome-film haze mask management for mass production [7638-139]Sim, J. / Lai, T.-S. / Bual, R. / Tan, S.B.K. / Krishnappa, A. / Wu, D. / Zhang, X. / Kim, W. / Lee, C. / Peng, P. et al. | 2010
-
EUV mask: detection studies with Aera2 [7638-141]Verdene, T. / Sagiv, A. / Malul, U. / Alumot, T. / Mangan, S. / SPIE (Society) et al. | 2010
-
Improved CD control for 45-40 nm CMOS logic patterning: anticipation for 32-28 nm [7638-09]Le Gratiet, B. / Sundermann, F. / Massin, J. / Decaux, M. / Thivolle, N. / Baron, F. / Ostrovsky, A. / Monget, C. / Chapon, J.D. / Blancquaert, Y. et al. | 2010
-
Application of automated topography focus corrections for volume manufacturing [7638-14]Wiltshire, T.J. / Liegl, B.R. / Hwang, E.M. / Lucksinger, M.R. / SPIE (Society) et al. | 2010
-
After development inspection defectivity studies of an advanced memory device [7638-20]Kim, H.-S. / Lee, B.-H. / Ma, E. / Wang, F. / Zhao, Y. / Kanai, K. / Xiao, H. / Jau, J. / SPIE (Society) et al. | 2010
-
Nanofabrication with a helium ion microscope [7638-143]Maas, D. / van Veldhoven, E. / Chen, P. / Sidorkin, V. / Salemink, H. / van der Drift, E. / Alkemade, P. / SPIE (Society) et al. | 2010
-
Simultaneous measurement of optical properties and geometry of resist using multiple scatterometry gratings [7638-52]Vaid, A. / Sendelbach, M. / Moore, D. / Brunner, T. / Felix, N. / Rawat, P. / Bozdog, C. / Kim, H. / Sendler, M. / Stepanov, S. et al. | 2010
-
Concerning the influence of pattern symmetry on CD-SEM local overlay measurements for double patterning of complex shapes [7638-64]Hotta, S. / Sutani, T. / Sugiyama, A. / Ikeno, M. / Yamaguchi, A. / Torii, K. / Halle, S. / Moore, D. / Archie, C. / SPIE (Society) et al. | 2010
-
Development for 2D pattern quantification method on mask and wafer [7638-91]Matsuoka, R. / Mito, H. / Toyoda, Y. / Wang, Z. / SPIE (Society) et al. | 2010
-
CD-SEM metrology of spike detection on sub-40 nm contact holes [7638-25]Momonoi, Y. / Osabe, T. / Yamaguchi, A. / Martin, E.M. / Koyanagi, H. / Colburn, M.E. / Torii, K. / SPIE (Society) et al. | 2010
-
Computational inspection applied to a mask inspection system with advanced aerial imaging capability [7638-30]Pang, L. / Peng, D. / He, L. / Chen, D. / Dam, T. / Tolani, V. / Tam, A. / Staud, W. / SPIE (Society) et al. | 2010
-
Use of wafer backside inspection and SPR to address systemic tool and process issues [7638-42]Carlson, A. / Bachiraju, P. / Clark, J. / Trost, D. / SPIE (Society) et al. | 2010
-
Dimensional metrology with sub-nanometer uncertainty: unique role of AFM as the reference [7638-46]Ukraintsev, V.A. / Foucher, J. / SPIE (Society) et al. | 2010
-
Sub-nanometer calibration of CD-SEM line width by using STEM (Invited Paper) [7638-55]Takamasu, K. / Kuwabara, K. / Takahashi, S. / Mizuno, T. / Kawada, H. / SPIE (Society) et al. | 2010
-
Calibration of 1-nm SiC step height standards [7638-47]Vorburger, T.V. / Hilton, A. / Dixson, R.G. / Orji, N.G. / Powell, J.A. / Trunek, A.J. / Neudeck, P.G. / Abel, P.B. / SPIE (Society) et al. | 2010
-
Electron-beam induced photoresist shrinkage influence on 2D profiles [7638-56]Bunday, B. / Cordes, A. / Allgair, J. / Aguilar, D.B. / Tileli, V. / Thiel, B. / Avitan, Y. / Peltinov, R. / Bar-Zvi, M. / Adan, O. et al. | 2010
-
3D-AFM tip to tip variations and impact on measurement performances [7638-48]Foucher, A.-L. / Foucher, J. / Dourthe, L. / SPIE (Society) et al. | 2010
-
Comparison of different algorithms to determine areas from SEM images [7638-97]Johnsen, K.-P. / Frase, C.G. / Bosse, H. / Yonekura, I. / Higuchi, M. / Richter, J. / SPIE (Society) et al. | 2010
-
CD-SEM utility with double patterning [7638-101]Bunday, B. / Lipscomb, P. / Koshihara, S. / Sukegawa, S. / Kawai, Y. / Ojima, Y. / Self, A. / Page, L. / SPIE (Society) et al. | 2010
-
Proximity effects correction for sub-10nm patterning node [7638-120]Jedrasik, P. / Tsunoda, D. / Shoji, M. / Tsunoe, H. / SPIE (Society) et al. | 2010
-
CD uniformity correction on 45-nm technology non-volatile memory [7638-130]Buttgereit, U. / Birkner, R. / Joyner, M. / Graitzer, E. / Cohen, A. / Miyashita, H. / Triulzi, B. / Zeballos, A.F. / Romeo, C. / SPIE (Society) et al. | 2010
-
Fabrication of a new BEAMETR chip for automatic electron beam size measurement [7638-134]Babin, S. / Bay, K. / Cabrini, S. / Dhuey, S. / Harteneck, B. / Machin, M. / Peroz, C. / SPIE (Society) et al. | 2010
-
A paradigm shift in scatterometry-based metrology solution addressing the most stringent needs of today as well as future lithography [7638-137]Ke, C.M. / Shih, V. / Huang, J. / Chen, L.J. / Wang, W. / Huang, G.T. / Yang, W.T. / Wang, S. / Liang, C.R. / Liu, H.H. et al. | 2010
-
Results from a novel EUV mask inspection by 193nm DUV system [7638-140]Mangan, S. / Kantor, A. / Shoshani, N. / Jaffe, A. / Kasimov, D. / SPIE (Society) et al. | 2010
-
Focus and dose deconvolution technique for improved CD control of immersion clusters [7638-07]Charley, A.-L. / D have, K. / Leray, P. / Laidler, D. / Cheng, S. / Dusa, M. / Hinnen, P. / Vanoppen, P. / SPIE (Society) et al. | 2010
-
Statistically accurate analysis of line width roughness based on discrete power spectrum [7638-22]Hiraiwa, A. / Nishida, A. / SPIE (Society) et al. | 2010
-
Measurements and sensitivities of LWR in poly spacers [7638-23]Ayal, G. / Shauly, E. / Levi, S. / Siany, A. / Adan, O. / Shacham-Diamand, Y. / SPIE (Society) et al. | 2010
-
Monitoring and characterization of metal-over-contact based edge-contour extraction measurement followed by electrical simulation [7638-28]Shauly, E. / Rotstein, I. / Schwarzband, I. / Edan, O. / Levi, S. / SPIE (Society) et al. | 2010
-
Enhanced capture rate for haze defects in production wafer inspection [7638-40]Auerbach, D. / Shulman, A. / Rozentsvige, M. / SPIE (Society) et al. | 2010
-
Method for wafer edge profile extraction using optical images obtained in edge defect inspection process [7638-76]Okamoto, H. / Sakaguchi, N. / Hayano, F. / SPIE (Society) et al. | 2010
-
Advanced diffraction-based overlay for double patterning [7638-82]Li, J. / Liu, Y. / Dasari, P. / Hu, J. / Smith, N. / Kritsun, O. / Volkman, C. / SPIE (Society) et al. | 2010
-
Achieving optimum diffraction based overlay performance [7638-81]Leray, P. / Laidler, D. / Cheng, S. / Coogans, M. / Fuchs, A. / Ponomarenko, M. / van der Schaar, M. / Vanoppen, P. / SPIE (Society) et al. | 2010
-
In-depth overlay contribution analysis of a poly-layer reticle [7638-84]Laske, F. / Whittey, J. / Roeth, K.-D. / McCormack, J. / Adam, D. / Bender, J. / Berglund, C.N. / Takac, M. / Chou, S. / SPIE (Society) et al. | 2010
-
Overlay control strategy for 45/32nm RD and production ramp up [7638-90]Yu, T.-Y. / Lin, J.-H. / Huang, Y.-F. / Chen, C.-H. / Yu, C.-C. / Huang, C.-C.K. / Huang, C.-J. / Tien, D. / SPIE (Society) et al. | 2010
-
Evaluation of 25-nm pitch SiO~2/Si multilayer grating reference using CD-SEM [7638-98]Kawada, H. / Nakayama, Y. / Yamamoto, J. / SPIE (Society) et al. | 2010
-
Metrology qualification of EUV resists [7638-122]Gershtein, L. / Peltinov, R. / Ventola, S. / Masia, C. / Xing, C. / SPIE (Society) et al. | 2010
-
Application of analytic SEM to CD metrology at nanometer scale [7638-136]Hwu, J.J. / Babin, S. / Bay, K. / SPIE (Society) et al. | 2010
-
Robust characterization of small grating boxes using rotating stage Mueller matrix polarimeter (Invited Paper) [7638-02]Foldyna, M. / De Martino, A. / Licitra, C. / Foucher, J. / SPIE (Society) et al. | 2010
-
A single metrology tool solution for complete exposure tool setup [7638-08]Laidler, D. / D have, K. / Charley, A.-L. / Leray, P. / Cheng, S. / Dusa, M. / Vanoppen, P. / Hinnen, P. / SPIE (Society) et al. | 2010
-
Scatterometry characterization of spacer double patterning structures [7638-54]Dasari, P. / Hu, J. / Liu, Z. / Tan, A. / Kritsun, O. / Volkman, C. / Bencher, C. / SPIE (Society) et al. | 2010
-
Micro-bridge defects: characterization and root cause analysis [7638-69]Santoro, G. / Van den Heuvel, D. / Braggin, J. / Rosslee, C. / Leray, P.J. / Cheng, S. / Jehoul, C. / Schreutelkamp, R. / Hillel, N. / SPIE (Society) et al. | 2010
-
Influence of error distribution shape on process capability analysis [7638-77]Asano, M. / Ikeda, T. / SPIE (Society) et al. | 2010
-
AMC control in photolithography: the past decade in review [7638-127]Weineck, G. / Zastera, D. / Dallas, A.J. / SPIE (Society) et al. | 2010
-
Improving lithographic performance for 32 nm [7638-04]Busch, J. / Parge, A. / Seltmann, R. / Scholtz, H. / Schultz, B. / Knappe, U. / Ruhm, M. / Noot, M. / Woischke, D. / Luehrmann, P. et al. | 2010
-
Process variation monitoring (PVM) by wafer inspection tool as a complementary method to CD-SEM for mapping field CDU on advanced production devices [7638-10]Kim, D.J. / Yoo, H.W. / Kim, C.H. / Lee, H.K. / Kim, S.S. / Bae, K.H. / Spielberg, H. / Lee, Y.H. / Levi, S. / Bustan, Y. et al. | 2010
-
Defect metrology challenges at the 11-nm node and beyond [7638-16]Crimmins, T.F. / SPIE (Society) et al. | 2010
-
Advanced lithography: water defect scattering analysis at DUV [7638-19]Meshulach, D. / Dolev, I. / Yamazaki, Y. / Tsuchiya, K. / Kaneko, M. / Yoshino, K. / Fujii, T. / SPIE (Society) et al. | 2010
-
Smaller, smarter, faster, and more accurate: the new overlay metrology [7638-33]Felix, N.M. / Gabor, A.H. / Muth, W.A. / Ausschnitt, C.P. / SPIE (Society) et al. | 2010
-
Reference material (RM) 8820: a versatile new NIST standard for nanometrology [7638-45]Postek, M.T. / Vladar, A.E. / Keery, W. / Bishop, M. / Bunday, B. / Allgair, J. / SPIE (Society) et al. | 2010
-
Metrology and process control: dealing with measurement uncertainty [7638-135]Potzick, J. / SPIE (Society) et al. | 2010
-
Product and tool control using integrated auto macro defect inspection in the photolithography cluster [7638-70]Taylor, T.L. / Shirley, P. / Dixon, D. / Yanagi, S. / Makimura, E. / SPIE (Society) et al. | 2010
-
Mask defect inspection by detecting polarization variations [7638-106]Takada, A. / Shibuya, M. / SPIE (Society) et al. | 2010
-
Advances in the understanding of low molecular weight silicon formation and implications for control by AMC filters [7638-110]Lobert, J.M. / Cate, P.W. / Ruede, D.J. / Wildgoose, J.R. / Miller, C.M. / Gaudreau, J.C. / SPIE (Society) et al. | 2010
-
Resist-based polarization monitoring with phase-shift masks at 1.35 numerical aperture: tool-to-tool comparison [7638-114]McIntyre, G. / Tu, R. / Robinson, C. / SPIE (Society) et al. | 2010
-
New exposure tool management technology with quick focus measurement in half pitch 22nm generation [7638-05]Fukazawa, K. / Kitamura, T. / Takeda, S. / Fujimori, Y. / Kudo, Y. / Hirukawa, S. / Takemasa, K. / Kasai, N. / Yamazaki, Y. / Yoshino, K. et al. | 2010
-
Reducing the impact of reticle CD-non-uniformity of multiple structures by dose corrections based on aerial image measurements [7638-12]Buttgereit, U. / Birkner, R. / Scheruebl, T. / de Putter, S. / Kastrup, B. / Finders, J. / SPIE (Society) et al. | 2010
-
The limits and extensibility of optical patterned defect inspection [7638-18]Silver, R.M. / Barnes, B.M. / Sohn, Y. / Quintanilha, R. / Zhou, H. / Deeb, C. / Johnson, M. / Goodwin, M. / Patel, D. / SPIE (Society) et al. | 2010
-
Systematic and random defects control with design-based metrology [7638-17]Yang, H. / Kim, J. / Lee, T. / Jung, A. / Yoo, G. / Yim, D. / Park, S. / Hasebe, T. / Yamamoto, M. / SPIE (Society) et al. | 2010
-
Defectivity decrease in the photolithography process by AMC level reduction through implementation of novel filtration and monitoring solutions [7638-21]Pic, N. / Martin, C. / Vitalis, M. / Calarnou, T. / Camlay, D. / Grosjean, C. / Lanier, A. / Kames, J. / Acksel, A. / Galvez, C. et al. | 2010
-
Effect of bandwidth and numerical aperture in optical scatterometry [7638-50]Germer, T.A. / Patrick, H.J. / SPIE (Society) et al. | 2010
-
Monitoring of critical dimensions in the sidewall-transferred double-patterning process using scatterometry [7638-104]Tanaka, K. / Lee, J. / SPIE (Society) et al. | 2010
-
Mask data rank and printability verification function of mask inspection system [7638-111]Takahara, K. / Tokita, M. / Tsuchiya, H. / Yamabe, M. / Kikuiri, N. / Usuda, K. / SPIE (Society) et al. | 2010
-
Investigation of periodical microstructures using coherent radiation [7638-138]Janusas, G. / Palevicius, A. / Bendikiene, R. / Palevicius, P. / SPIE (Society) et al. | 2010
-
Minimizing the outgassing of spin-coated organic materials to reduce defects [7638-73]Carr, B. / Evers, A. / Weimer, M. / Smith, B. / Leith, J. / SPIE (Society) et al. | 2010
-
Monitoring acidic and basic molecular contamination in leading edge lithography and metrology applications: quantitative comparison of solid state and impinger-based sampling methods [7638-75]Vogt, S.R. / Landoni, C. / SPIE (Society) et al. | 2010
-
Improved scanner matching using scanner fleet matcher (SFM) [7638-80]Chiu, S.-H.C. / Lee, C.-L. / Yu, S.-H. / Fu, K.-L. / Tung, M.-L. / Chen, P.-C. / Huang, C.-T. / Yu, C.-C.E. / Huang, C.-L.K. / Robinson, J.C. et al. | 2010
-
Contour-based self-aligning calibration of OPC models [7638-92]Kusnadi, I. / Do, T. / Granik, Y. / Sturtevant, J.L. / De Bisschop, P. / Hibino, D. / SPIE (Society) et al. | 2010
-
A novel defect detection optical system using 199-nm light source for EUVL mask [7638-107]Hirano, R. / Kikuiri, N. / Hirono, M. / Ogawa, R. / Sigemura, H. / Takahara, K. / Hashimoto, H. / SPIE (Society) et al. | 2010
-
Detection of photo resist residue on advanced gate layers using optical scattering and advanced analysis techniques [7638-125]Ku, S. / Chien, Y.-H.C. / Yang, C.M. / Wang, E. / Chen, D. / Young, C. / Sun, K. / Yan, J. / Dighe, P. / Saldanha, A. et al. | 2010
-
Hybrid reference metrology exploiting patterning simulation [7638-31]Rana, N. / Archie, C. / SPIE (Society) et al. | 2010
-
Preliminary results for photomask haze mitigation in a fab environment [7638-41]Ku, T. / LeClaire, J. / Tan, S.K. / Chua, G.S. / Bozak, R. / White, R. / Robinson, T. / Archuletta, M. / Lee, D. / SPIE (Society) et al. | 2010
-
Sub-50-nm measurements using a 193-nm angle-resolved scatterfield microscope [7638-49]Quintanilha, R. / Sohn, Y.J. / Barnes, B.M. / Silver, R. / SPIE (Society) et al. | 2010
-
A comparison of advanced overlay technologies [7638-60]Dasari, P. / Smith, N. / Goelzer, G. / Liu, Z. / Li, J. / Tan, A. / Koh, C.H. / SPIE (Society) et al. | 2010
-
A novel robust diffraction-based metrology concept for measurement and monitoring of critical layers in memory devices [7638-63]Ham, B.-H. / Kang, H.-J. / Hwang, C. / Yeo, J.-H. / Kim, C.-H. / Nam, S.-W. / Moon, J.-T. / Coogans, M. / Boef, A.d. / Ryu, C.-H. et al. | 2010
-
Overlay sampling optimization by operating characteristic curves empirically estimated [7638-86]Kasa, K. / Asano, M. / Ikeda, T. / Takakuwa, M. / Komine, N. / Ishigo, K. / SPIE (Society) et al. | 2010
-
Scatterometry simulator for multicore CPU [7638-103]Shirasaki, H. / SPIE (Society) et al. | 2010
-
CD bias reduction in CD-SEM of very small line patterns: sidewall shape measurement using model-based library matching method [7638-128]Shishido, C. / Tanaka, M. / Osaki, M. / SPIE (Society) et al. | 2010
-
Overlay breakdown methodology on immersion scanner [7638-132]Lam, A. / Pasqualini, F. / de Caunes, J. / Gatefait, M. / SPIE (Society) et al. | 2010
-
CDSEM focus/dose monitor for product applications [7638-03]Archie, C. / Solecky, E. / Rawat, P. / Brunner, T. / Yoshimoto, K. / Cornell, R. / Adan, O. / SPIE (Society) et al. | 2010
-
Full wafer macro-CD imaging for excursion control of fast patterning processes [7638-06]Markwort, L. / Kappel, C. / Kharrazian, R. / Guittet, P.-Y. / SPIE (Society) et al. | 2010
-
New measurement technology for CD and pattern profile variation using optical Fourier space [7638-13]Hayano, F. / Kawai, A. / Uchikawa, T. / Endo, K. / Yoshino, K. / Yamazaki, Y. / Nagashima, K. / Tsuchiya, K. / SPIE (Society) et al. | 2010
-
Model-based analysis of SEM images to automatically extract linewidth, edge roughness, and wall angle [7638-26]Babin, S. / Bay, K. / Machin, M. / SPIE (Society) et al. | 2010
-
Characterization of cross sectional profile of nanostructure line grating using small angle x-ray scattering [7638-35]Ishibashi, Y. / Koike, T. / Yamazaki, Y. / Ito, Y. / Okazaki, Y. / Omote, K. / SPIE (Society) et al. | 2010
-
HVM die yield improvement as a function of DRSEM ADC [7638-72]Maheshwary, S. / Haas, T. / McGarvey, S. / SPIE (Society) et al. | 2010
-
High-resolution defect metrology for silicon BARC analysis [7638-74]Smith, B. / McGarvey, S. / Zhu, Z. / Wang, Y. / Sullivan, D. / SPIE (Society) et al. | 2010
-
The impact of total measurement uncertainty (TMU) on overlay error correction [7638-85]Shin, J. / Yeo, J. / Kang, Y.-S. / Han, W. / SPIE (Society) et al. | 2010
-
Improvement of the process overlay control for sub-40-nm DRAM [7638-87]Park, S. / Lee, E.-H. / Shin, E.-K. / Ryu, Y.-J. / Shin, H.-J. / Hwang, S.-H. / Lim, H.-Y. / Sun, K.-T. / Eom, T.-S. / Kwak, N.-J. et al. | 2010
-
Scanner qualification with IntenCD based reticle error correction [7638-113]Elblinger, Y. / Finders, J. / Demarteau, M. / Wismans, O. / Minnaert-Janssen, I. / Duray, F. / Yishai, M.B. / Mangan, S. / Cohen, Y. / Parizat, Z. et al. | 2010
-
IR microscopy as an early electrical yield indicator in bonded wafer pairs used for 3D integration [7638-39]Rudack, A.C. / Singh, P. / Taylor, J.C. / Mashevsky, V. / SPIE (Society) et al. | 2010
-
Reticle haze control: global update and technology roadmap [7638-43]Kishkovich, O. / Kielbaso, T. / Halbmaier, D. / SPIE (Society) et al. | 2010
-
High-accuracy OPC-modeling by using advanced CD-SEM based contours in the next-generation lithography [7638-67]Hibino, D. / Shindo, H. / Abe, Y. / Hojyo, Y. / Fenger, G. / Do, T. / Kusnadi, I. / Sturtevant, J.L. / De Bisschop, P. / Van de Kerkhove, J. et al. | 2010
-
OPC model error study through mask and SEM measurement error [7638-68]Top, M.K. / Fuard, D. / Farys, V. / Schiavone, P. / SPIE (Society) et al. | 2010
-
Spatial signature in local overlay measurements: what CD-SEM can tell us and optical measurements can not [7638-65]Halle, S. / Moore, D. / Archie, C. / Hotta, S. / Sutani, T. / Sugiyama, A. / Ikeno, M. / Yamaguchi, A. / Torii, K. / SPIE (Society) et al. | 2010
-
Utilizing run-to-run control to improve process capability and reduce waste in lithography: case studies in semiconductor and display manufacturing, and a vision for the future [7638-79]Moyne, J. / SPIE (Society) et al. | 2010
-
Study on practical application to pattern top resist loss measurement by CD-SEM for high NA immersion lithography [7638-96]Ishimoto, T. / Yasui, N. / Hasegawa, N. / Tanaka, M. / Cheng, S. / SPIE (Society) et al. | 2010
-
Improved recipe quality control: from development to mass production [7638-99]Nakata, Y. / Koshihara, S. / Kawada, H. / Yang, K. / Kakuta, J. / Kono, A. / SPIE (Society) et al. | 2010
-
Fast and precise measurements of the two-dimensional birefringence distribution in microlithographic lens materials [7638-112]Katte, H.T. / SPIE (Society) et al. | 2010
-
Error analysis of absolute testing based on even-odd functions method [7638-124]Jia, X. / Xing, T. / Lin, W. / Liao, Z. / Li, Y. / SPIE (Society) et al. | 2010
-
LER detection using dark field spectroscopic reflectometry [7638-24]Brill, B. / Gov, S. / Hak, D. / Sorin, V. / Marcu, T. / Bunday, B. / SPIE (Society) et al. | 2010
-
Calibration of 25-nm pitch grating reference by high-resolution grazing incidence x-ray diffraction [7638-37]Ito, Y. / Omote, K. / Okazaki, Y. / Nakayama, Y. / Kawada, H. / SPIE (Society) et al. | 2010
-
Use of multiple azimuthal angles to enable advanced scatterometry applications [7638-51]Sendelbach, M. / Vaid, A. / Herrera, P. / Dziura, T. / Zhang, M. / Srivatsa, A. / SPIE (Society) et al. | 2010
-
SEM image modeling using the modular Monte Carlo model MCSEM [7638-59]Johnsen, K.-P. / Frase, C.G. / Bosse, H. / Gnieser, D. / SPIE (Society) et al. | 2010
-
Automated optimized overlay sampling for high-order processing in double patterning lithography [7638-62]Koay, C. / Colburn, M.E. / Izikson, P. / Robinson, J.C. / Kato, C. / Kurita, H. / Nagaswami, V. / SPIE (Society) et al. | 2010
-
Monitoring and control of photoresist properties and CD during photoresist processing [7638-78]Yang, G. / Ngo, Y.-S. / Putra, A.S. / Ang, K.-T. / Tay, A. / Fang, Z.-P. / SPIE (Society) et al. | 2010
-
Mask registration and wafer overlay [7638-88]Lee, C. / Bang, C. / Kim, M. / Kang, H. / Lee, D. / Jeong, W. / Lim, O.-S. / Yoon, S. / Jung, J. / Laske, F. et al. | 2010
-
From pin-point design based critical dimension metrology toward comprehensive evaluation of IC patterning integrity [7638-93]Sallee, C. / Clark, W. / Lu, B.J. / Ukraintsev, V. / Khvatkov, V. / SPIE (Society) et al. | 2010
-
Application of model-based library approach to photoresist pattern shape measurement in advanced lithography [7638-95]Yasui, N. / Isawa, M. / Ishimoto, T. / Sekiguchi, K. / Tanaka, M. / Osaki, M. / Shishido, C. / Hasegawa, N. / Cheng, S. / SPIE (Society) et al. | 2010
-
Aerial imaging qualification and metrology for source mask optimization [7638-108]Sagiv, A. / Finders, J. / Kazinczi, R. / Engelen, A. / Duray, F. / Minnaert-Janssen, I. / Mangan, S. / Kasimov, D. / Englard, I. / SPIE (Society) et al. | 2010
-
Roadmap for traceable calibration of a 5-nm pitch length standard [7638-116]Chernoff, D.A. / Burkhead, D.L. / SPIE (Society) et al. | 2010
-
Electrical effects of corner Serif OPC [7638-133]McCallum, M. / Tsiamis, A. / Smith, S. / Hourd, A.C. / Stevenson, J.T.M. / Walton, A.J. / SPIE (Society) et al. | 2010
-
SI-traceable calibration of line-width roughness of 25nm NanoCD standard [7638-142]Ukraintsev, V.A. / Helvey, M. / Guan, Y. / Mikeska, B.P. / SPIE (Society) et al. | 2010