Detectability and printability of EUVL mask blank defects for the 32-nm HP node (English)
- New search for: Cho, Wonil
- New search for: Han, Hak-Seung
- New search for: Goldberg, Kenneth A.
- New search for: Kearney, Patrick A.
- New search for: Jeon, Chan-Uk
- New search for: Cho, Wonil
- New search for: Han, Hak-Seung
- New search for: Goldberg, Kenneth A.
- New search for: Kearney, Patrick A.
- New search for: Jeon, Chan-Uk
In:
Proc. SPIE
;
6730
; 673013
;
2007
-
ISBN:
-
ISSN:
- Conference paper / Electronic Resource
-
Title:Detectability and printability of EUVL mask blank defects for the 32-nm HP node
-
Contributors:Cho, Wonil ( author ) / Han, Hak-Seung ( author ) / Goldberg, Kenneth A. ( author ) / Kearney, Patrick A. ( author ) / Jeon, Chan-Uk ( author )
-
Conference:Photomask Technology 2007 ; 2007 ; Monterey,California,United States
-
Published in:Proc. SPIE ; 6730 ; 673013
-
Publisher:
- New search for: SPIE
-
Publication date:2007-10-02
-
ISBN:
-
ISSN:
-
DOI:
-
Type of media:Conference paper
-
Type of material:Electronic Resource
-
Language:English
-
Source:
Table of contents conference proceedings
The tables of contents are generated automatically and are based on the data records of the individual contributions available in the index of the TIB portal. The display of the Tables of Contents may therefore be incomplete.
- 67300A
-
CD bias control with in-situ plasma treatment in EPSM photomask etchYung, Karmen / Choi, Chang-Ju / Baik, Ki-Ho et al. | 2007
- 67300B
-
Effects of exposure environment on pellicle degradation in ArF lithographyChoi, Hyungseok / Ahn, Yohan / Yoon, Jeongin / Lee, Yangkoo / Cho, Yongjhin / Kim, Jongann et al. | 2007
- 67300C
-
Development and characterization of a new low stress molybdenum silicide film for 45 nm attenuated phase shift mask manufacturingFaure, Thomas / Gallagher, Emily E. / Kindt, Louis / Nash, Steven / Racette, Ken / Wistrom, Richard / Komizo, Toru / Kikuchi, Yasutaka / Nemoto, Satoru / Sasaki, Yushin et al. | 2007
- 67300D
-
Evaluation of the effect of mask-blank flatness on CDU and DOF in high-NA systemsLee, Christopher / Chang, Chia Wen / Chin, Tomas / Lu, Richard / Fan, Steven / Chen, Derek / Chan, Gordon / Huang, Torey et al. | 2007
- 67300E
-
The development of full field high resolution imprint templatesYoshitake, Shusuke / Sunaoshi, Hitoshi / Yasui, Kenichi / Kobayashi, Hideo / Sato, Takashi / Nagarekawa, Osamu / Thompson, Ecron / Schmid, Gerard / Resnick, Douglas J. et al. | 2007
- 67300F
-
Defect reduction progress in step and flash imprint lithographySelenidis, K. / Maltabes, J. / McMackin, I. / Perez, J. / Martin, W. / Resnick, D. J. / Sreenivasan, S. V. et al. | 2007
- 67300G
-
Fabrication of nano-imprint templates for dual-Damascene applications using a high resolution variable shape E-beam writerPritschow, Marcus / Butschke, Joerg / Irmscher, Mathias / Sailer, Holger / Resnick, Douglas / Thompson, Ecron et al. | 2007
- 67300H
-
The study of CD error in mid-local pattern area caused by develop loading effectKang, Man-Kyu / Lee, Jung-Hun / Kim, Seong-Yoon / Kim, Byung-Gook / Woo, Sang-Gyun / Cho, Han-Ku et al. | 2007
- 67300I
-
Performance improvement of ALTA4700 for 130nm and below mask productivityHsu, Jyh Wei / Lee, David / Tseng, Chen Rui / Hong, Eric / Wu, Chun Hung et al. | 2007
- 67300J
-
The behavior of substrate dependency as surface treatment in the positive chemically amplified resistYang, Sin-Ju / Cha, Han-Sun / Kang, Ju-Hyun / Yang, Chul-Kyu / Ahn, Jin-Ho / Nam, Kee-Soo et al. | 2007
- 67300K
-
Design for CD correction strategy using a resist shrink method via UV irradiation for defect-free photomaskRyu, Jin Ho / Lee, Dong Wook / Jung, Ho Yong / Kim, Sang Pyo / Han, Oscar et al. | 2007
- 67300L
-
The impact of mask photoresist develop on critical dimension parametersSmith, Adam C. / Sullivan, Daniel B. / Sugawara, Kazuhiko / Okawa, Yusuke et al. | 2007
- 67300M
-
New method of contour-based mask-shape compilerMatsuoka, Ryoichi / Sugiyama, Akiyuki / Onizawa, Akira / Sato, Hidetoshi / Toyoda, Yasutaka et al. | 2007
- 67300N
-
Development of mask-DFM system MiLE load estimation of mask manufacturingNagamura, Yoshikazu / Hosono, Kunihiro / Narukawa, Shogo / Mohri, Hiroshi / Hayashi, Naoya / Kato, Masahiro / Kawase, Hidemichi et al. | 2007
- 67300O
-
DFM for maskmaking: design-aware flexible mask-defect analysisDriessen, Frank A. J. M. / Westra, J. / Scheffer, M. / Kawakami, K. / Tsujimoto, E. / Yamaji, M. / Kawashima, T. / Hayashi, N. et al. | 2007
- 67300P
-
Use of layout automation and design-based metrology for defect test mask design and verificationSpence, Chris / Tabery, Cyrus / Poock, Andre / Duerr, Arndt C. / Witte, Thomas / Fiebig, Jan / Heumann, Jan et al. | 2007
- 67300Q
-
Intel's AMT enables rapid processing and info-turn for Intel's DFM test chip vehicleHajj, Hazem et al. | 2007
- 67300R
-
From rule to model-based design: A need for DfP criteria?Balasinski, A. / Kachwala, N. / Abercrombie, D. et al. | 2007
- 67300S
-
Accurate lithography analysis for yield predictionYeric, Greg / Hatamian, Babak / Kapoor, Rahul et al. | 2007
- 67300T
-
Production-worthy full chip image-based verificationYu, Zongchang / Zhang, Youping / Xiao, Yanjun / Li, Wanyu et al. | 2007
- 67300U
-
Layout verification in the era of process uncertainty: requirements for speed, accuracy, and process portabilityTorres, J. Andres / Graur, Ioana / Simmons, Mark C. / Kanodia, Suniti et al. | 2007
- 67300V
-
A lithography aware design optimization using foundry-certified models and hotspot detectionKarklin, L. / Arkhipov, A. / Blakely, D. / Dingenen, M. / Mehrotra, A. / Watson, B. / Zelnik, C. / Cote, M. / Hurat, P. et al. | 2007
- 67300W
-
Litho-aware extraction for the 32nm double patterning nodeHuckabay, Judy / Chen, Quentin / Thayer, Craig / Naber, Robert et al. | 2007
- 67300X
-
Silicon-verified automatic DFM layout optimization: a calibration-lite model-based application to standard cellsLin, Kuang-Kuo / Wong, Ban P. / Driessen, Frank A. J. M. / Morita, Etsuya / Klaver, Simon et al. | 2007
- 67300Y
-
Non-uniform yield optimization for integrated circuit layoutPikus, Fedor G. / Torres, J. Andres et al. | 2007
- 67301A
-
A practical solution to the critical problem of 193 nm reticle hazeKishkovich, Oleg / Halbmaier, Dave / Gabarre, Xavier / Grenon, Brian / Lo, James / Lam, Andy / Chen, Tom et al. | 2007
- 67301B
-
Rapid and precise monitor of reticle hazeZavecz, Terrence / Kasprowicz, Bryan et al. | 2007
- 67301D
-
Investigation of airborne molecular contamination adsorption rate as storage materials in maskYang, Chul-Kyu / Cha, Han-Sun / Yang, Sin-Ju / Kang, Ju-Hyun / Ahn, Jin-Ho / Nam, Kee-Soo et al. | 2007
- 67301E
-
Study of time dependent 193 nm reticle hazeGordon, Joseph / Frisa, Larry / Chovino, Christian / Chan, David / Keagy, John / Weins, Colleen et al. | 2007
- 67301F
-
Full sulfate-free process: joint achievement of minimal residual ions and yield improvementPerissinotti, Francesca / Sartelli, Luca / Cassago, Davide / Miyashita, Hiroyuki et al. | 2007
- 67301G
-
Using the AIMS 45-193i for hyper-NA imaging applicationsDe Bisschop, Peter / Philipsen, Vicky / Birkner, Robert / Buttgereit, Ute / Richter, Rigo / Scherübl, Thomas et al. | 2007
- 67301H
-
Mask characterization for double patterning lithographyBubke, Karsten / Cotte, Eric / Peters, Jan Hendrik / de Kruif, Robert / Dusa, Mircea / Fochler, Joerg / Connolly, Brid et al. | 2007
- 67301I
-
DPL performance analysis strategy with conventional workflowToyama, Nobuhito / Inazuki, Yuichi / Sutou, Takanori / Nagai, Takaharu / Morikawa, Yasutaka / Mohri, Hiroshi / Hayashi, Naoya / Miyazaki, Junji / Chen, Alek / Samarakone, Nandasiri et al. | 2007
- 67301J
-
Estimating DPL photomask fabrication load compared with single exposureToyama, Nobuhito / Inazuki, Yuichi / Sutou, Takanori / Nagai, Takaharu / Morikawa, Yasutaka / Mohri, Hiroshi / Hayashi, Naoya / Huckabay, Judy A. / Abe, Yoshikuni et al. | 2007
- 67301L
-
Pattern split rules! A feasibility study of rule based pitch decomposition for double patterningvan Oosten, Anton / Nikolsky, Peter / Huckabay, Judy / Goossens, Ronald / Naber, Robert et al. | 2007
- 67301M
-
The MEEF NILS divergence for low k1 lithographySchenker, Richard / Cheng, Wen-hao / Allen, Gary et al. | 2007
- 67301N
-
Impact of alternative mask stacks on the imaging performance at NA 1.20 and abovePhilipsen, Vicky / Mesuda, Kei / De Bisschop, Peter / Erdmann, Andreas / Citarella, Giuseppe / Evanschitzky, Peter / Birkner, Robert / Richter, Rigo / Scherübl, Thomas et al. | 2007
- 67301O
-
Requirements of photomask registration for the 45nm node and beyond: Is it possible?Choi, Jin / Kim, Hee Bom / Lee, Sang Hee / Lee, Dong Hun / Jeong, Hae Young / Lee, Jeung Woo / Kim, Byung Gook / Woo, Sang-Gyun / Cho, Han Ku et al. | 2007
- 67301P
-
Simulation of larger mask areas using the waveguide method with fast decomposition techniqueEvanschitzky, Peter / Shao, Feng / Erdmann, Andreas / Reibold, David et al. | 2007
- 67301Q
-
Polarization aberration modeling via Jones matrix in the context of OPCZhang, Qiaolin / Song, Hua / Lucas, Kevin et al. | 2007
- 67301R
-
Validation of a fast and accurate 3D mask model for SRAF printability analysis at 32nm nodeLiu, Peng / Zuniga, Christian / Ma, Zhongtuan / Feng, Hanying et al. | 2007
- 67301S
-
Fast three-dimensional simulation of buried EUV mask defect interaction with absorber featuresClifford, Chris H. / Neureuther, Andrew R. et al. | 2007
- 67301T
-
Polarization-induced astigmatism caused by topographic masksRuoff, Johannes / Neumann, Jens Timo / Schmitt-Weaver, Emil / van Setten, Eelco / le Masson, Nicolas / Progler, Chris / Geh, Bernd et al. | 2007
- 67301U
-
Characterization and monitoring of photomask edge effectsMiller, Marshal A. / Neureuther, Andrew R. / Ceperley, Daniel P. / Rubinstein, Juliet et al. | 2007
- 67301W
-
EMF simulations of isolated and periodic 3D photomask patternsBurger, Sven / Zschiedrich, Lin / Schmidt, Frank / Köhle, Roderick / Küchler, Bernd / Nölscher, Christoph et al. | 2007
- 67301X
-
Fast and accurate laser bandwidth modeling of optical proximity effectsLalovic, Ivan / Kritsun, Oleg / Bendik, Joeseph / Smith, Mark / Sallee, Chris / Farrar, Nigel et al. | 2007
- 67301Y
-
Advanced mask particle cleaning solutionsRobinson, Tod / Dinsdale, Andrew / Bozak, Ron / Arruza, Bernie et al. | 2007
- 67301Z
-
Integrated photomask defect printability check, mask repair, and repair validation procedure for phase-shifting masks for the 45-nm node and beyondEhrlich, Christian / Buttgereit, Ute / Boehm, Klaus / Scheruebl, Thomas / Edinger, Klaus / Bret, Tristan et al. | 2007
- 67302A
-
Inspection results for 32nm logic and sub-50nm half-pitch memory reticles using the TeraScanHRSier, Jean-Paul / Broadbent, William / Mirzaagha, Farzin / Yu, Paul et al. | 2007
- 67302B
-
Automatic optimization of MEEF-driven defect disposition for contamination inspection challengesHuang, Tracy / Dayal, Aditya / Bhattacharyya, Kaustuve / Huang, Joe / Chou, William / Cheng, Yung-Feng / Yen, Shih-Ming / Cheng, James / Peng, Peter et al. | 2007
- 67302C
-
Paving the way to a full chip gate level double patterning applicationHaffner, Henning / Meiring, Jason / Baum, Zachary / Halle, Scott et al. | 2007
- 67302E
-
Automatic assist feature placement optimization based on process-variability reductionJayaram, Srividya / Yehia, Ayman / Bahnas, Mohamed / Maaty Omar, Hesham A. / Bozkus, Zeki / Sturtevant, John L. et al. | 2007
- 67302F
-
Full-chip-based subresolution assist features correction for mask manufacturingBang, Ju-Mi / Masumoto, Issei / Ji, Min-Kyu / Jang, Sung-Hoon / Aburatani, Isao / Choi, Ji-Hyun / Woo, Sang-Gyun / Cho, Han-Ku et al. | 2007
- 67302G
-
Etch proximity correction by integrated model-based retargeting and OPC flowShang, Shumay / Granik, Yuri / Niehoff, Martin et al. | 2007
- 67302H
-
Resolution enhancement by aerial image approximation with 2D-TCCYamazoe, Kenji / Sekine, Yoshiyuki / Kawashima, Miyoko / Hakko, Manabu / Ono, Tomomi / Honda, Tokuyuki et al. | 2007
- 67302I
-
Exploring the sources of MEEF in contact SRAMsGallagher, Emily / Stobert, Ian / Higuchi, Masaru / Samuels, Donald et al. | 2007
- 67302J
-
The improvement of OPC accuracy and stability by the model parameters' analysis and optimizationChung, No-Young / Choi, Woon-Hyuk / Lee, Sung-Ho / Kim, Sung-Il / Lee, Sun-Yong et al. | 2007
- 67302K
-
Simultaneous model-based main feature and SRAF optimization for 2D SRAF implementation to 32 nm critical layersYehia, Ayman / Tritchkov, Alexander et al. | 2007
- 67302M
-
A generic technique for reducing OPC iteration: fast forward OPCHong, Le / Sturtevant, John et al. | 2007
- 67302N
-
Fast synthesis of topographic mask effects based on rigorous solutionsYan, Qiliang / Deng, Zhijie / Shiely, James et al. | 2007
- 67302O
-
Improving hyper-NA OPC using targeted measurements for model parameter extractionWard, Brian S. et al. | 2007
- 67302P
-
Selective process aware OPC for memory deviceShim, Woosuk / Suh, Sungsoo / Amoroso, Frank / Lugg, Robert / Lee, Sooryung / Lee, Sukjoo / Oh, Seok-Hwan / Lee, Junghyeon / Ahn, Tae-Hyuk / Kang, Chang-Jin et al. | 2007
- 67302Q
-
Validating optical proximity correction with models, masks, and wafersMarokkey, Sajan / Conrad, Edward W. / Gallagher, Emily E. / Ikeda, Hidehiro / Bruce, James A. / Lawliss, Mark et al. | 2007
- 67302R
-
The study of phase-angle and transmission specifications of 6% att-EAPSM for 90nm, 65nm, and 45nm node wafer manufacturing patterning processChen, Gong / Garza, Cesar et al. | 2007
- 67302S
-
Better on wafer performance and mask manufacturability of contacts with no or non-traditional serifsSamuels, Donald / Stobert, Ian et al. | 2007
- 67302T
-
Optimization of OPC runtime using efficient optical simulationAl-Imam, Mohamed / Tawfic, Walid A. et al. | 2007
- 67302U
-
Full-chip process window aware OPC capability assessmentLugg, Robert / StJohn, Matt / Zhang, Yunqiang / Yang, Amy / Van Adrichem, Paul et al. | 2007
- 67302V
-
E-beam direct write is freeGlasser, Lance A. et al. | 2007
- 67302W
-
Driving photomask supplier quality through automationRussell, Drew / Espenscheid, Andrew et al. | 2007
- 67302X
-
Multi-layer reticle (MLR) strategy application to double-patterning/double-exposure for better overlay error control and mask cost reductionYamamoto, Yasuhisa / Rigby, Rodney / Sweis, Jason et al. | 2007
- 67302Y
-
Polygon-based compensation of proximity and density effects in photomask processesKageyama, Kiyoshi / Miyoko, Katsuyuki / Okuda, Yoshimitsu / Perçin, Gökhan / Sezginer, Apo / Carrero, Jesus / Zhu, Alan / Liu, Anwei et al. | 2007
- 67302Z
-
Improvement of mask CD uniformity for below 45-nm node technologyLee, Hojune / Bae, Seokjong / Park, Junghoon / Nam, Dongseok / Kim, Byunggook / Woo, Sang-Gyun / Cho, HanKu et al. | 2007
- 67303A
-
Preliminary verifiability of the aerial image measurement tool over photolithography processLee, Hyemi / Jeong, Goomin / Kim, Sangchul / Han, Oscar et al. | 2007
- 67303B
-
Calibration of contact areas: the influence of corner roundingRichter, Jan / Zerbe, Eva-Maria / Marschner, Thomas et al. | 2007
- 67303C
-
Measurements of corner rounding in 2D contact holes on phase-shift masks using broadband reflectance and transmittance spectra in conjunction with RCWAGray, Alexander / Lam, John C. / Chen, Stanley / Richter, Jan et al. | 2007
- 67303D
-
Photomask applications of traceable atomic force microscope dimensional metrology at NISTDixson, Ronald / Orji, Ndubuisi G. / Potzick, James / Fu, Joseph / Allen, Richard A. / Cresswell, Michael / Smith, Stewart / Walton, Anthony J. / Tsiamis, Andreas et al. | 2007
- 67303E
-
Laterally resolved off-axis phase measurements on 45-nm node production features using PhameButtgereit, Ute / Perlitz, Sascha / Seidel, Dirk / Lee, Kyung M. / Tavassoli, Malahat et al. | 2007
- 67303F
-
LRC techniques for improved error detection throughout the process windowLee, Venson / Tsai, Sheng-Hua / Zhu, Jun / Wang, Lantian / Yang, Shu-Mei / White, Dan et al. | 2007
- 67303G
-
Teracomputing for mask data preparationNogatch, John / Kirsch, Hartmut / Mostafa, Kamal / Newell, Glenn / Yeap, Johnny et al. | 2007
- 67303I
-
Compressing MEBES data enabling multi-threaded decompressionPereira, Mark / Parchuri, Anil et al. | 2007
- 67303J
-
Mask manufacturability improvement by MRCBalasinski, A. / Coburn, D. / Buck, P. et al. | 2007
- 67303K
-
Reduction of layout complexity for shorter mask write-timeHannon, Sean / Lewis, Travis / Goad, Scott / Jantzen, Kenneth / Wang, Jianlin / Vu, Hien T. / Sahouria, Emile / Schulze, Steffen et al. | 2007
- 67303L
-
Optimizing defect inspection strategy through the use of design-aware database control layersStoler, Dvori / Ruch, Wayne / Ma, Weimin / Chakravarty, Swapnajit / Liu, Steven / Morgan, Ray / Valadez, John / Moore, Bill / Burns, John et al. | 2007
- 67303M
-
New method of identification of false or nuisance defects using defect imaging system DIS-05Zhang, Hao / Takahashi, Katsuyuki / Bando, Hideaki / Kitayama, Yasunobu / Sugano, Akio / Kobayashi, Kenichi et al. | 2007
- 67303O
-
Improving inspectability with KLA-Tencor TeraScan thin line de-senseChen, Chunlin / Kim, David / Park, Ki Hun / Kim, NamWook / Han, Sang Hoon / Park, Jin Hyung / Chung, Dong Hoon et al. | 2007
- 67303P
-
Implementation of an efficient defect classification method in photomask mass productionLiu, Cathy / Wang, Crystal / Zhang, Skin / Guo, Eric / Liu, Steven / Lu, Eric Haodong / Fan, Dongsheng / Wang, Den / Ma, Weiming et al. | 2007
- 67303Q
-
To improve reticle re-qualification process and reduce reticle re-cleaning frequency using efficient defect classification and defect trackingLu, Eric Haodong / Wang, Jim / Badoni, Raj / Chen, Ellison / Ma, Weimin et al. | 2007
- 67303R
-
Automating defect disposition in fabs and maskshopsFiekowsky, Peter / Narukawa, S. / Kawashima, T. et al. | 2007
- 67303S
-
Automatic OPC repair flow: optimized implementation of the repair recipeBahnas, Mohamed / Al-Imam, Mohamed / Word, James et al. | 2007
- 67303T
-
Database and data analysis strategy for multi-designer testchipsPoppe, Wojtek J. / Au, Patrick / Jayasuriya, Darshana / Neureuther, Andrew et al. | 2007
- 67303V
-
Determining OPC target specifications electrically instead of geometricallyZhang, Qiaolin Charlie / van Adrichem, Paul et al. | 2007
- 67303W
-
Application of modified jog-fill DRC rule on LFD OPC flowKim, Young-Mi / Lee, Sang-Uk / Kang, Jea-Hyun / Kim, Jea-Hee / Kim, Kee-Ho et al. | 2007
- 67303Y
-
Pellicle dimensions for high NA photomasksErber, Frank / Schulmeyer, Thomas / Holfeld, Christian et al. | 2007
- 67303Z
-
Evaluation of attenuated PSM photomask blanks with TF11 chrome and FEP-171 resist on a 248 nm DUV laser pattern generatorXing, Kezhao / Björnborg, Charles / Karlsson, Henrik / Paulsson, Adisa / Rosendahl, Anna / Beiming, Peter / Vedenpää, Jukka / Walford, Jonathan / Newman, Tom et al. | 2007
- 67304A
-
Pattern density and process related CD corrections at 32nm nodeBenes, Zdenek / Kotani, Jun et al. | 2007
- 67304B
-
Automatic residue removal for high-NA extreme illuminationMoon, James / Nam, Byong-Sub / Jeong, Joo-Hong / Kong, Dong-Ho / Nam, Byung-Ho / Yim, Dong Gyu et al. | 2007
- 67304C
-
Effective area partitioning for preparing parallel processing in mask data preparationSatou, Yoshiyuki / Okamoto, Yasushi / Fujimoto, Manabu / Tsuchida, Hiroshi / Satou, Akiko et al. | 2007
- 67304D
-
Mask calibration dominated methodology for OPC matchingZhu, Liang / Lu, Mark / King, Dion / Gu, Yili / Yang, Steve / Melvin, Lawrence S. et al. | 2007
- 67304E
-
Integration of OPC and mask data preparation for reduced data I/O and reduced cycle timeMorgan, Ray / Chacko, Manoj / Hung, Dan / Yeap, Johnny / Boman, Mathias et al. | 2007
- 67304F
-
Mask rule check using priority information of mask patternsKato, Kokoro / Taniguchi, Yoshiyuki / Nishizawa, Kuninori / Endo, Masakazu / Inoue, Tadao / Hagiwara, Ryouji / Yasaka, Anto et al. | 2007
- 67304G
-
Improving the efficiency of pattern extraction for character projection lithography using OPC optimizationNosato, Hirokazu / Matsunawa, Tetsuaki / Sakanashi, Hidenori / Murakawa, Masahiro / Higuchi, Tetsuya et al. | 2007
- 67304H
-
A user-programmable link between data preparation and mask manufacturing equipmentZhang, Weidong / Davis, Grant / Sahouria, Emile / Schulze, Steffen / Saad, Mohammed / Seyfarth, Arne / Poortinga, Eric et al. | 2007
- 67304I
-
32nm half pitch node OPC process model development for three dimensional mask effects using rigorous simulationMelvin, Lawrence S. / Schmoeller, Thomas / Li, Jianliang et al. | 2007
- 67304J
-
OPC verification on cell level using fully rigorous mask topography simulationDomnenko, Vitaly / Klimpel, Thomas / Viehoever, Georg / Koop, Hans / Melvin, Lawrence S. / Schmoeller, Thomas et al. | 2007
- 67304K
-
A study of haze generation as thin film materialsKang, Ju-Hyun / Cha, Han-Sun / Yang, Sin-Ju / Yang, Chul-Kyu / Ahn, Jin-Ho / Nam, Kee-Soo / Kim, Jong-Min / Patil, Manish / Hur, Ik-Bum / Choi, Sang-Soo et al. | 2007
- 67304L
-
A method to determine the origin of remaining particles after mask blank cleaningKapila, Vivek / Eichenlaub, Sean / Rastegar, Abbas / John, Arun / Marmillion, Pat et al. | 2007
- 67304O
-
Haze generation effect by pellicle and packing box on photomaskKim, Jong-Min / Patil, Manish / Jeong, Woo-Gun / Hur, Ik-Boum / Shin, Cheol / Jung, Sung-Mo / Choi, Moon-Hwan / Choi, Sang-Soo et al. | 2007
- 67304P
-
Laser shockwave cleaning of EUV reticlesLammers, N. A. / Bleeker, A. et al. | 2007
- 67304Q
-
Mask protection from a haze during shipping and storageUmeda, T. / Kawashima, H. / Miho, T. / Moriya, K. et al. | 2007
- 67304R
-
CD-signature evaluation using scatterometryRichter, Jan / Laube, Phillipp / Lam, John et al. | 2007
- 67304S
-
Parameter sensitive patterns for scatterometry monitoringXue, Jing / Ben, Yu / Wang, Chaohao / Miller, Marshal / Spanos, Costas J. / Neureuther, Andrew R. et al. | 2007
- 67304T
-
Long-term critical dimension measurement performance for a new mask CD-SEM, S-9380MWang, Zhigang / Seet, Kock Khuen / Fukaya, Ritsuo / Kadowaki, Yasuhiro / Arai, Noriaki / Ezumi, Makoto / Satoh, Hidetoshi et al. | 2007
- 67304V
-
The study for close correlation of mask and wafer to optimize wafer field CD uniformityKim, Munsik / Kang, Jaesung / Kang, Shinchul / Jeong, Goomin / Choi, Yongkyoo / Han, Oscar et al. | 2007
- 67304W
-
Development of a captured image simulator for the differential interference contrast microscopes aiming to design 199 nm mask inspection toolsShiratsuchi, Masataka / Honguh, Yoshinori / Hirano, Ryoichi / Ogawa, Riki / Hirono, Masatoshi / Nomura, Takehiko et al. | 2007
- 67304Y
-
Improvements in model-based assist feature placement algorithmsPainter, Benjamin / Barnes, Levi D. / Mayhew, Jeffrey P. / Wang, Yongdong et al. | 2007
- 67304Z
-
An approach of auto-fix post OPC hot spotsWang, Ching-Heng / Liu, Qingwei / Zhang, Liguo et al. | 2007
- 67305B
-
Industry survey of wafer fab reticle quality control strategies in the 90nm-45nm design-rule ageDover, Russell et al. | 2007
- 67305C
-
Shuttle fabrication for designs with lifted I/OsLin, Rung-Bin / Wu, Meng-Chiou / Tsai, Shih-Cheng et al. | 2007
- 67305D
-
Development status of EUVL mask blanks in AGCHayashi, Kazuyuki et al. | 2007
- 67305E
-
Performance of actinic EUVL mask imaging using a zoneplate microscopeGoldberg, Kenneth A. / Naulleau, Patrick P. / Barty, Anton / Rekawa, Senajith B. / Kemp, Charles D. / Gunion, Robert F. / Salmassi, Farhad / Gullikson, Eric M. / Anderson, Erik H. / Han, Hak-Seung et al. | 2007
- 673001
-
Front Matter: Volume 6730| 2007
- 673003
-
Mask Industry Assessment: 2007Shelden, Gilbert / Marmillion, Patricia / Hughes, Greg et al. | 2007
- 673004
-
Compensating for image placement errors induced during the fabrication and chucking of EUVL masksEngelstad, Roxann L. / Sohn, Jaewoong / Mikkelson, Andrew R. / Nataraju, Madhura / Turner, Kevin T. et al. | 2007
- 673004
-
Compensating for image placement errors induced during the fabrication and chucking of EUVL masks (EMLC 2007 Best Paper) [6730-03]Engelstad, R.L. / Sohn, J. / Mikkelson, A.R. / Nataraju, M. / Turner, K.T. / BACUS (Technical group) / Society of Photo-optical Instrumentation Engineers et al. | 2007
- 673006
-
PMJ 2007 panel discussion overview: double exposure and double patterning for 32-nm half-pitch design nodeNagaoka, Yoshinori / Watanabe, Hidehiro et al. | 2007
- 673007
-
Characterizing photomask etch processes by phase component analysis (PCA)Wistrom, Richard / Komizo, Toru / Hibbs, Michael / Reid, Gary et al. | 2007
- 673008
-
The advanced mask CD MTT control using dry etch process for sub 65 nm techJo, Sang Jin / Jung, Ho Yong / Lee, Dong Wook / Shin, Jae Cheon / Jun, Jea Young / Ha, Tae Joong / Han, Oscar et al. | 2007
- 673010
-
Lateral interactions between standard cells using pattern matchingWang, Lynn Tao-Ning / Neureuther, Andrew R. et al. | 2007
- 673011
-
Selecting and using a lithography compliance DFM tool for 65-nm foundry productionHatamian, Babak / Kapoor, Rahul et al. | 2007
- 673012
-
Investigation of mask defectivity in full field EUV lithographyJonckheere, Rik / Iwamoto, Fumio / Lorusso, G. F. / Goethals, A. M. / Ronse, K. / Koop, H. / Schmoeller, T. et al. | 2007
- 673013
-
Detectability and printability of EUVL mask blank defects for the 32-nm HP nodeCho, Wonil / Han, Hak-Seung / Goldberg, Kenneth A. / Kearney, Patrick A. / Jeon, Chan-Uk et al. | 2007
- 673014
-
Measuring and characterizing the nonflatness of EUVL reticles and electrostatic chucksEngelstad, Roxann L. / Turner, Kevin T. / Nataraju, Madhura / Sohn, Jaewoong / Mikkelson, Andrew R. / Battula, Venkata Siva / Vukkadala, Pradeep / Zeuske, Jacob R. / Van Peski, Chris K. et al. | 2007
- 673015
-
Recent performance of EUV mask blanks with low-thermal expansion glass substratesShoki, Tsutomu / Yamada, Takeyuki / Shimojima, Shouji / Shiota, Yuuki / Tsukahara, Mitsuharu / Koike, Kesahiro / Shishido, Hiroaki / Nozawa, Osamu / Sakamoto, Toshiyuki / Hosoya, Morio et al. | 2007
- 673016
-
Investigation of resist effects on EUV mask defect printabilityZhang, Zhiyu / Liang, Ted et al. | 2007
- 673017
-
Impact of mask absorber properties on printability in EUV lithographyKamo, Takashi / Aoyama, Hajime / Tanaka, Toshihiko / Suga, Osamu et al. | 2007
- 673018
-
Capability of eco-friendly cleaning strategy corresponding to advanced technologyJeong, Sookyeong / Lee, Dongwook / Ryu, Jisun / Ryu, Jinho / Kim, Sangpyo / Han, Oscar et al. | 2007
- 673019
-
Compositional analysis of progressive defects on a photomaskSaga, Koichiro / Kawahira, Hiroichi et al. | 2007
- 673020
-
A semi-automated AFM photomask repair process for manufacturing application using SPR6300Dellagiovanna, Mario / Yoshioka, Hidenori / Miyashita, Hiroyuki / Murai, Shiaki / Nakaue, Takuya / Takaoka, Osamu / Uemoto, Atsushi / Kikuchi, Syuichi / Hagiwara, Ryoji / Benard, Stephane et al. | 2007
- 673021
-
Repairing 45 nm node defects through nano-machiningWhite, Roy / Dinsdale, Andrew / Robinson, Tod / Brinkley, David / Csuy, Jeffrey / Lee, David et al. | 2007
- 673022
-
The cleaning effects of mask aerial image after FIB repair in sub-80nm nodeLee, Hyemi / Jeong, Goomin / Jeong, Sookyeong / Kim, Sangchul / Han, Oscar et al. | 2007
- 673023
-
Wafer inspection as alternative approach to mask defect qualificationHolfeld, Christian / Katzwinkel, Frank / Seifert, Uwe / Mothes, Andreas / Peters, Jan Hendrik et al. | 2007
- 673024
-
A pragmatic approach to high sensitivity defect inspection in the presence of mask process variabilityHan, Sang Hoon / Park, Jin Hyung / Chung, Dong Hoon / Woo, Sang-Gyun / Cho, Han Ku / Kim, David / Chen, Chunlin / Park, Ki-Hun / Inderhees, Gregg et al. | 2007
- 673025
-
Sensitivity comparison of fast integrated die-to-die T+R pattern inspection, standard database inspection, and STARlight2contamination mode for application in mask productionSchmalfuss, Heiko / Schulmeyer, Thomas / Heumann, Jan / Lang, Michael / Sier, Jean-Paul et al. | 2007
- 673026
-
Enhancing productivity and sensitivity in mask production via a fast integrated die-to-database T+R inspectionLu, Eric Haodong / Wu, David / Chen, Ellison / Badoni, Raj et al. | 2007
- 673027
-
Progressive growth and hard defect disposition integrated system for 65nm and 45nm ArF immersion lithographyChua, Gek Soon / Tan, Sia Kim / Choi, Byoung Il / Lee, Oi Yin / Kim, Jeong Soo et al. | 2007
- 673028
-
Characterizing contamination inspection capabilities using programmed defect test reticlesNhiev, Anthony / Riddick, John / Straub, Joseph / Hutchinson, Trent / Reese, Bryan / Dayal, Aditya et al. | 2007
- 673029
-
Mask inspection method for 45nm node deviceOh, Sunghyun / Choi, Yongkyoo / Hwang, Daeho / Jeong, Goomin / Han, Oscar et al. | 2007
- 673030
-
Correction technique of EBM-6000 prepared for EUV mask writingYoshitake, Shusuke / Sunaoshi, Hitoshi / Yashima, Jun / Tamamushi, Shuichi / Ogasawara, Munehiro et al. | 2007
- 673031
-
Coping with double-patterning/exposure lithography by EB mask writer EBM-6000Kamikubo, Takashi / Nishimura, Rieko / Tsuruta, Kaoru / Hattori, Kiyoshi / Takamatsu, Jun / Yoshitake, Shusuke / Nozue, Hiroshi / Sunaoshi, Hitoshi / Tamamushi, Shuichi et al. | 2007
- 673032
-
Performance comparison of techniques for intra-field CD control improvementPforr, Rainer / Hennig, Mario / Reichelt, Jens / Ben Zvi, Guy / Sczyrba, Martin et al. | 2007
- 673033
-
Projection maskless patterning (PMLP) for the fabrication of leading-edge complex masks and nano-imprint templatesPlatzgummer, Elmar / Loeschner, Hans / Gross, Gerhard et al. | 2007
- 673034
-
Improving the CD linearity and proximity performance of photomasks written on the Sigma7500-II DUV laser writer through embedded OPCÖsterberg, Anders / Ivansen, Lars / Beyerl, Angela / Newman, Tom / Bowhill, Amanda / Sahouria, Emile / Schulze, Steffen et al. | 2007
- 673035
-
Contrast properties of spatial light modulators for microlithographyHeber, J. / Kunze, D. / Dürr, P. / Rudloff, D. / Wagner, M. / Björnängen, P. / Luberek, J. / Berzinsh, U. / Sandström, T. / Karlin, T. et al. | 2007
- 673036
-
Accuracy of mask pattern contour extraction with fine-pixel SEM imagesYamaguchi, Shinji / Yamanaka, Eiji / Mukai, Hidefumi / Kotani, Toshiya / Mashita, Hiromitsu / Itoh, Masamitsu et al. | 2007
- 673037
-
2D measurement using CD SEM for arbitrarily shaped patterns [6730-112]Lee, H.-J. / Bae, S.-Y. / Chung, D.-H. / Woo, S.-G. / Cho, H. / Matsumoto, J. / Nakamura, T. / Shin, D. / Kim, T. / BACUS (Technical group) et al. | 2007
- 673037
-
2D measurement using CD SEM for arbitrarily shaped patternsLee, Hyung-Joo / Bae, So-Yoon / Chung, Dong-Hoon / Woo, Sang-Gyun / Cho, HanKu / Matsumoto, Jun / Nakamura, Takayuki / Shin, Dong Il / Kim, TaeJun et al. | 2007
- 673039
-
Images in photoresist for self-interferometric electrical image monitorsRubinstein, Juliet / Neureuther, Andrew R. et al. | 2007
- 673040
-
Bimetallic thermal resists potential for double-exposure immersion lithography and grayscale photomasks [6730-141]Dykes, J.M. / Plesa, C. / Choo, C. / Chapman, G.H. / BACUS (Technical group) / Society of Photo-optical Instrumentation Engineers et al. | 2007
- 673040
-
Bimetallic thermal resists potential for double-exposure immersion lithography and grayscale photomasksDykes, James M. / Plesa, Calin / Choo, Chinheng / Chapman, Glenn H. et al. | 2007
- 673042
-
Acid diffusion length limitation for 45 nm node attenuated and chromeless phase shift maskKang, Young-Min / Park, Seung-Wook / Oh, Hye-Keun et al. | 2007
- 673043
-
Critical dimension control for 32 nm random contact hole array with resist reflow processPark, Joon-Min / Kang, Young-Min / Park, Seung-Wook / Hong, Joo-Yoo / Oh, Hye-Keun et al. | 2007
- 673044
-
Self-aligned resist patterning with 172nm and 193nm backside flood exposure on attenuated phase shift masksChun, Jun / Ha, Taejoong / Jung, Hoyong / Jo, Sangjin / Han, Oscar et al. | 2007
- 673045
-
Practical use of hard mask process to fabricate fine photomasks for 45nm node and beyondKushida, Yasuyuki / Handa, Hitoshi / Maruyama, Hiroshi / Abe, Yuuki / Fujimura, Yukihiro / Yokoyama, Toshifumi et al. | 2007
- 673047
-
Resistless mask structuring using an ion multi-beam projection pattern generatorButschke, Joerg / Irmscher, Mathias / Letzkus, Florian / Loeschner, Hans / Nedelmann, Lorenz / Platzgummer, Elmar et al. | 2007
- 673048
-
Reconfigurable lithographic applications using polymer liquid crystal composite filmsFox, Anna E. / Fontecchio, Adam K. et al. | 2007
- 673050
-
3D mask modeling with oblique incidence and mask corner rounding effects for the 32nm nodeSaied, Mazen / Foussadier, Franck / Belledent, Jérôme / Trouiller, Yorick / Schanen, Isabelle / Yesilada, Emek / Gardin, Christian / Urbani, Jean Christophe / Sundermann, Frank / Robert, Frédéric et al. | 2007
- 673051
-
Model-based mask verificationFoussadier, Frank / Sundermann, Frank / Vacca, Anthony / Wiley, Jim / Chen, George / Takigawa, Tadahiro / Hayano, Katsuya / Narukawa, Syougo / Kawashima, Satoshi / Mohri, Hiroshi et al. | 2007
- 673053
-
More robust model built using SEM calibrationWang, Ching-Heng / Liu, Qingwei / Zhang, Liguo et al. | 2007
- 673054
-
Safe interpolation distance for VT5 resist modelTawfic, Walid / Al-Imam, Mohamed / Bailey, George E. et al. | 2007
- 673055
-
The effect of the OPC parameters on the performance of the OPC modelAbdo, Amr / Seoud, Ahmed / Wei, Alexander / Stobert, Ian / Leslie, Alan et al. | 2007
- 673056
-
Modeling scanner signatures in the context of OPCZhang, Qiaolin / Tyminski, Jacek K. / Lucas, Kevin et al. | 2007
- 673057
-
Modeling polarized illumination for OPC/RETSong, Hua / Zhang, Qiaolin / Shiely, James et al. | 2007
- 673058
-
Fundamental study on the error factor for sub 90nm OPC modelingLee, Hyesung / Lee, Sang-Uk / Kim, Jeahee / Kim, Keeho et al. | 2007
- 673059
-
OPC development in action for advanced technology nodesWang, Anthony Chunqing / Fujimoto, Masashi / van Adrichem, Paul J. M. / Bork, Ingo / Yamashita, Hiroshi et al. | 2007
-
PMJ 2007 panel discussion overview: double exposure and double patterning for 32-nm half-pitch design node [6730-05]Nagaoka, Y. / Watanabe, H. / BACUS (Technical group) / Society of Photo-optical Instrumentation Engineers et al. | 2007
-
Lateral interactions between standard cells using pattern matching [6730-136]Wang, L.T.-N. / Neureuther, A.R. / BACUS (Technical group) / Society of Photo-optical Instrumentation Engineers et al. | 2007
-
Rapid and precise monitor of reticle haze [6730-46]Zavecz, T. / Kasprowicz, B. / BACUS (Technical group) / Society of Photo-optical Instrumentation Engineers et al. | 2007
-
Polarization-induced astigmatism caused by topographic masks [6730-63]Ruoff, J. / Neumann, J.T. / Schmitt-Weaver, E. / van Setten, E. / le Masson, N. / Progler, C. / Geh, B. / BACUS (Technical group) / Society of Photo-optical Instrumentation Engineers et al. | 2007
-
Advanced mask particle cleaning solutions [6730-67]Robinson, T. / Dinsdale, A. / Bozak, R. / Arruza, B. / BACUS (Technical group) / Society of Photo-optical Instrumentation Engineers et al. | 2007
-
Full-chip-based subresolution assist features correction for mask manufacturing [6730-84]Bang, J.-M. / Masumoto, I. / Ji, M.-K. / Jang, S.-H. / Aburatani, I. / Choi, J.-H. / Woo, S.-G. / Cho, H.-K. / BACUS (Technical group) / Society of Photo-optical Instrumentation Engineers et al. | 2007
-
Full-chip process window aware OPC capability assessment [6730-98]Lugg, R. / StJohn, M. / Zhang, Y. / Yang, A. / Van Adrichem, P. / BACUS (Technical group) / Society of Photo-optical Instrumentation Engineers et al. | 2007
-
The study for close correlation of mask and wafer to optimize wafer field CD uniformity [6730-179]Kim, M. / Kang, J. / Kang, S. / Jeong, G. / Choi, Y. / Han, O. / BACUS (Technical group) / Society of Photo-optical Instrumentation Engineers et al. | 2007
-
An approach of auto-fix post OPC hot spots [6730-183]Wang, C.-H. / Liu, Q. / Zhang, L. / BACUS (Technical group) / Society of Photo-optical Instrumentation Engineers et al. | 2007
-
Repair specification study for half-pitch 32-nm patterns for EUVL [6730-206]Aoyama, H. / Amano, T. / Nishiyama, Y. / Shigemura, H. / Suga, O. / BACUS (Technical group) / Society of Photo-optical Instrumentation Engineers et al. | 2007
-
Evaluation of the effect of mask-blank flatness on CDU and DOF in high-NA systems [6730-12]Lee, C. / Chang, C.W. / Chin, T. / Lu, R. / Fan, S. / Chen, D. / Chan, G. / Huang, T. / BACUS (Technical group) / Society of Photo-optical Instrumentation Engineers et al. | 2007
-
Fabrication of nano-imprint templates for dual-Damascene applications using a high resolution variable shape E-beam writer [6730-15]Pritschow, M. / Butschke, J. / Irmscher, M. / Sailer, H. / Resnick, D. / Thompson, E. / BACUS (Technical group) / Society of Photo-optical Instrumentation Engineers et al. | 2007
-
Fast three-dimensional simulation of buried EUV mask defect interaction with absorber features [6730-62]Clifford, C.H. / Neureuther, A.R. / BACUS (Technical group) / Society of Photo-optical Instrumentation Engineers et al. | 2007
-
Polygon-based compensation of proximity and density effects in photomask processes [6730-103]Kageyama, K. / Miyoko, K. / Okuda, Y. / Percin, G. / Sezginer, A. / Carrero, J. / Zhu, A. / Liu, A. / BACUS (Technical group) / Society of Photo-optical Instrumentation Engineers et al. | 2007
-
New method of identification of false or nuisance defects using defect imaging system DIS-05 [6730-124]Zhang, H. / Takahashi, K. / Bando, H. / Kitayama, Y. / Sugano, A. / Kobayashi, K. / BACUS (Technical group) / Society of Photo-optical Instrumentation Engineers et al. | 2007
-
To improve reticle re-qualification process and reduce reticle re-cleaning frequency using efficient defect classification and defect tracking [6730-128]Lu, E.H. / Wang, J. / Badoni, R. / Chen, E. / Ma, W. / BACUS (Technical group) / Society of Photo-optical Instrumentation Engineers et al. | 2007
-
Determining OPC target specifications electrically instead of geometrically [6730-135]Zhang, Q. / van Adrichem, P. / BACUS (Technical group) / Society of Photo-optical Instrumentation Engineers et al. | 2007
-
Application of modified jog-fill DRC rule on LFD OPC flow [6730-137]Kim, Y.-M. / Lee, S.-U. / Kang, J.-H. / Kim, J.-H. / Kim, K.-H. / BACUS (Technical group) / Society of Photo-optical Instrumentation Engineers et al. | 2007
-
Overcoming loading challenges in a mask etcher for 45 nm and beyond [6730-213]Chandrachood, M. / Leung, T.Y.B. / Yu, K. / Grimbergen, M. / Panayil, S. / Ibrahim, I. / Sabharwal, A. / Kumar, A. / BACUS (Technical group) / Society of Photo-optical Instrumentation Engineers et al. | 2007
-
Mask rule check using priority information of mask patterns [6730-158]Kato, K. / Taniguchi, Y. / Nishizawa, K. / Endo, M. / Inoue, T. / Hagiwara, R. / Yasaka, A. / BACUS (Technical group) / Society of Photo-optical Instrumentation Engineers et al. | 2007
-
A method to determine the origin of remaining particles after mask blank cleaning [6730-166]Kapila, V. / Eichenlaub, S. / Rastegar, A. / John, A. / Marmillion, P. / BACUS (Technical group) / Society of Photo-optical Instrumentation Engineers et al. | 2007
-
Parameter sensitive patterns for scatterometry monitoring [6730-174]Xue, J. / Ben, Y. / Wang, C. / Miller, M. / Spanos, C.J. / Neureuther, A.R. / BACUS (Technical group) / Society of Photo-optical Instrumentation Engineers et al. | 2007
-
Development of a captured image simulator for the differential interference contrast microscopes aiming to design 199 nm mask inspection tools [6730-180]Shiratsuchi, M. / Honguh, Y. / Hirano, R. / Ogawa, R. / Hirono, M. / Nomura, T. / BACUS (Technical group) / Society of Photo-optical Instrumentation Engineers et al. | 2007
-
3D mask modeling with oblique incidence and mask corner rounding effects for the 32nm node [6730-184]Saied, M. / Foussadier, F. / Belledent, J. / Trouiller, Y. / Schanen, I. / Yesilada, E. / Gardin, C. / Urbani, J.C. / Sundermann, F. / Robert, F. et al. | 2007
-
Shuttle fabrication for designs with lifted I/Os [6730-197]Lin, R.-B. / Wu, M.-C. / Tsai, S.-L. / BACUS (Technical group) / Society of Photo-optical Instrumentation Engineers et al. | 2007
-
Litho-aware extraction for the 32nm double patterning node [6730-32]Huckabay, J. / Chen, Q. / Thayer, C. / Naber, R. / BACUS (Technical group) / Society of Photo-optical Instrumentation Engineers et al. | 2007
-
Study of time dependent 193 nm reticle haze [6730-49]Gordon, J. / Frisa, L. / Chovino, C. / Chan, D. / Keagy, J. / Weins, C. / BACUS (Technical group) / Society of Photo-optical Instrumentation Engineers et al. | 2007
-
Mask characterization for double patterning lithography [6730-52]Bubke, K. / Cotte, E. / Peters, J.H. / de Kruif, R. / Dusa, M. / Fochler, J. / Connolly, B. / BACUS (Technical group) / Society of Photo-optical Instrumentation Engineers et al. | 2007
-
A semi-automated AFM photomask repair process for manufacturing application using SPR6300 [6730-69]Dellagiovanna, M. / Yoshioka, H. / Miyashita, H. / Murai, S. / Nakaue, T. / Takaoka, O. / Uemoto, A. / Kikuchi, S. / Hagiwara, R. / Benard, S. et al. | 2007
-
Mask inspection method for 45nm node device [6730-78]Oh, S. / Choi, Y. / Hwang, D. / Jeong, G. / Han, O. / BACUS (Technical group) / Society of Photo-optical Instrumentation Engineers et al. | 2007
-
Compressing MEBES data enabling multi-threaded decompression [6730-155]Pereira, M. / Parchuri, A. / BACUS (Technical group) / Society of Photo-optical Instrumentation Engineers et al. | 2007
-
Critical dimension control for 32 nm random contact hole array with resist reflow process [6730-144]Park, J.-M. / Kang, Y.-M. / Park, S.-W. / Hong, J.-Y. / Oh, H.-K. / BACUS (Technical group) / Society of Photo-optical Instrumentation Engineers et al. | 2007
-
Improving the efficiency of pattern extraction for character projection lithography using OPC optimization [6730-159]Nosato, H. / Matsunawa, T. / Sakanashi, H. / Murakawa, M. / Higuchi, T. / BACUS (Technical group) / Society of Photo-optical Instrumentation Engineers et al. | 2007
-
Laser shockwave cleaning of EUV reticles [6730-171]Lammers, N.A. / Bleeker, A. / BACUS (Technical group) / Society of Photo-optical Instrumentation Engineers et al. | 2007
-
CD-signature evaluation using scatterometry [6730-173]Richter, J. / Laube, P. / Lam, J. / BACUS (Technical group) / Society of Photo-optical Instrumentation Engineers et al. | 2007
-
Development of EUV mask fabrication process using Ru capping blank [6730-208]Abe, T. / Adachi, T. / Sasaki, S. / Mohri, H. / Hayashi, N. / Ishikiriyama, K. / BACUS (Technical group) / Society of Photo-optical Instrumentation Engineers et al. | 2007
-
Capability of eco-friendly cleaning strategy corresponding to advanced technology [6730-165]Jeong, S. / Lee, D. / Ryu, J. / Kim, S. / Han, O. / BACUS (Technical group) / Society of Photo-optical Instrumentation Engineers et al. | 2007
-
Compositional analysis of progressive defects on a photomask [6730-44]Saga, K. / Kawahira, H. / BACUS (Technical group) / Society of Photo-optical Instrumentation Engineers et al. | 2007
-
Requirements of photomask registration for the 45nm node and beyond: Is it possible? [6730-58]Choi, J. / Kim, H.B. / Lee, S.H. / Lee, D.H. / Jeong, H.Y. / Lee, J.W. / Kim, B.G. / Woo, S.-G. / Cho, H.K. / BACUS (Technical group) et al. | 2007
-
A pragmatic approach to high sensitivity defect inspection in the presence of mask process variability [6730-73]Han, S.H. / Park, J.H. / Chung, D.H. / Woo, S.-G. / Cho, H.K. / Kim, D. / Chen, C. / Park, K.-H. / Inderhees, G. / BACUS (Technical group) et al. | 2007
-
Projection maskless patterning (PMLP) for the fabrication of leading-edge complex masks and nano-imprint templates [6730-108]Platzgummer, E. / Loeschner, H. / Gross, G. / BACUS (Technical group) / Society of Photo-optical Instrumentation Engineers et al. | 2007
-
Coping with double-patterning/exposure lithography by EB mask writer EBM-6000 [6730-106]Kamikubo, T. / Nishimura, R. / Tsuruta, K. / Hattori, K. / Takamatsu, J. / Yoshitake, S. / Nozue, H. / Sunaoshi, H. / Tamamushi, S. / BACUS (Technical group) et al. | 2007
-
Contrast properties of spatial light modulators for microlithography [6730-110]Heber, J. / Kunze, D. / Durr, P. / Rudloff, D. / Wagner, M. / Bjornangen, P. / Luberek, J. / Berzinsh, U. / Sandstrom, T. / Karlin, T. et al. | 2007
-
Accuracy of mask pattern contour extraction with fine-pixel SEM images [6730-111]Yamaguchi, S. / Yamanaka, E. / Mukai, H. / Kotani, T. / Mashita, H. / Itoh, M. / BACUS (Technical group) / Society of Photo-optical Instrumentation Engineers et al. | 2007
-
Automatic OPC repair flow: optimized implementation of the repair recipe [6730-132]Bahnas, M. / Al-Imam, M. / Word, J. / BACUS (Technical group) / Society of Photo-optical Instrumentation Engineers et al. | 2007
-
Reconfigurable lithographic applications using polymer liquid crystal composite films [6730-149]Fox, A.E. / Fontecchio, A.K. / BACUS (Technical group) / Society of Photo-optical Instrumentation Engineers et al. | 2007
-
Automatic residue removal for high-NA extreme illumination [6730-153]Moon, J. / Nam, B.-S. / Jeong, J.-H. / Kong, D.-H. / Nam, B.-H. / Yim, D.G. / BACUS (Technical group) / Society of Photo-optical Instrumentation Engineers et al. | 2007
-
Integration of OPC and mask data preparation for reduced data I/O and reduced cycle time [6730-157]Morgan, R. / Chacko, M. / Hung, D. / Yeap, J. / Boman, M. / BACUS (Technical group) / Society of Photo-optical Instrumentation Engineers et al. | 2007
-
Mask protection from a haze during shipping and storage [6730-172]Umeda, T. / Kawashima, H. / Miho, T. / Moriya, K. / BACUS (Technical group) / Society of Photo-optical Instrumentation Engineers et al. | 2007
-
Inverse lithography technology (ILT): keep the balance between SRAF and MRC at 45 and 32 nm [6730-212]Pang, L. / Liu, Y. / Dam, T. / Mihic, K. / Cecil, T. / Abrams, D. / BACUS (Technical group) / Society of Photo-optical Instrumentation Engineers et al. | 2007
-
A study of template cleaning for nano-imprint lithography [6730-211]Ellenson, J.E. / Litt, L.C. / Rastegar, A. / BACUS (Technical group) / Society of Photo-optical Instrumentation Engineers et al. | 2007
-
Development of mask-DFM system MiLE load estimation of mask manufacturing [6730-23]Nagamura, Y. / Hosono, K. / Narukawa, S. / Mohri, H. / Hayashi, N. / Kato, M. / Kawase, H. / BACUS (Technical group) / Society of Photo-optical Instrumentation Engineers et al. | 2007
-
Production-worthy full chip image-based verification [6730-29]Yu, Z. / Zhang, Y. / Xiao, Y. / Li, W. / BACUS (Technical group) / Society of Photo-optical Instrumentation Engineers et al. | 2007
-
Silicon-verified automatic DFM layout optimization: a calibration-lite model-based application to standard cells [6730-33]Lin, K.-K. / Wong, B.P. / Driessen, F.A.J.M. / Morita, E. / Klaver, S. / BACUS (Technical group) / Society of Photo-optical Instrumentation Engineers et al. | 2007
-
Wafer inspection as alternative approach to mask defect qualification [6730-72]Holfeld, C. / Katzwinkel, F. / Seifert, U. / Mothes, A. / Peters, J.H. / BACUS (Technical group) / Society of Photo-optical Instrumentation Engineers et al. | 2007
-
Paving the way to a full chip gate level double patterning application [6730-81]Haffner, H. / Meiring, J. / Baum, Z. / Halle, S. / BACUS (Technical group) / Society of Photo-optical Instrumentation Engineers et al. | 2007
-
Self-aligned resist patterning with 172nm and 193nm backside flood exposure on attenuated phase shift masks [6730-145]Chun, J. / Ha, T. / Jung, H. / Jo, S. / Han, O. / BACUS (Technical group) / Society of Photo-optical Instrumentation Engineers et al. | 2007
-
Practical use of hard mask process to fabricate fine photomasks for 45nm node and beyond [6730-147]Kushida, Y. / Handa, H. / Maruyama, H. / Abe, Y. / Fujimura, Y. / Yokoyama, T. / BACUS (Technical group) / Society of Photo-optical Instrumentation Engineers et al. | 2007
-
Pattern density and process related CD corrections at 32nm node [6730-151]Benes, Z. / Kotani, J. / BACUS (Technical group) / Society of Photo-optical Instrumentation Engineers et al. | 2007
-
Haze generation effect by pellicle and packing box on photomask [6730-170]Kim, J.-M. / Patil, M. / Jeong, W.-G. / Hur, I.-B. / Shin, C. / Jung, S.-M. / Choi, M.-H. / Choi, S.-S. / BACUS (Technical group) / Society of Photo-optical Instrumentation Engineers et al. | 2007
-
Long-term critical dimension measurement performance for a new mask CD-SEM, S-9380M [6730-175]Wang, Z. / Seet, K.K. / Fukaya, R. / Kadowaki, Y. / Arai, N. / Ezumi, M. / Satoh, H. / BACUS (Technical group) / Society of Photo-optical Instrumentation Engineers et al. | 2007
-
Safe interpolation distance for VT5 resist model [6730-189]Tawfic, W. / Al-Imam, M. / Bailey, G.E. / BACUS (Technical group) / Society of Photo-optical Instrumentation Engineers et al. | 2007
-
A study of precision performance and scan damage of EUV masks with the LWM9000 SEM [6730-202]Yonekura, I. / Hakii, H. / Yoshii, T. / Negishi, Y. / Oohira, K. / Kanayama, K. / Kawashita, M. / Sakata, Y. / Tanaka, K. / BACUS (Technical group) et al. | 2007
-
Evaluation of EUVL-mask pattern defect inspection using 199-nm inspection optics [6730-204]Amano, T. / Nishiyama, Y. / Shigemura, H. / Terasawa, T. / Suga, O. / Hashimoto, H. / Kameya, N. / Murakami, S. / Kikuiri, N. / BACUS (Technical group) et al. | 2007
-
Effects of exposure environment on pellicle degradation in ArF lithography [6730-10]Choi, H. / Ahn, Y. / Yoon, J. / Lee, Y. / Cho, Y. / Kim, J. / BACUS (Technical group) / Society of Photo-optical Instrumentation Engineers et al. | 2007
-
Layout verification in the era of process uncertainty: requirements for speed, accuracy, and process portability [6730-30]Torres, J.A. / Graur, I. / Simmons, M.C. / Kanodia, S. / BACUS (Technical group) / Society of Photo-optical Instrumentation Engineers et al. | 2007
-
A lithography aware design optimization using foundry-certified models and hotspot detection [6730-36]Karklin, L. / Arkhipov, A. / Blakely, D. / Dingenen, M. / Mehrotra, A. / Watson, B. / Zelnik, C. / Cote, M. / Hurat, P. / BACUS (Technical group) et al. | 2007
-
Selecting and using a lithography compliance DFM tool for 65-nm foundry production [6730-31]Hatamian, B. / Kapoor, R. / BACUS (Technical group) / Society of Photo-optical Instrumentation Engineers et al. | 2007
-
Full sulfate-free process: joint achievement of minimal residual ions and yield improvement [6730-50]Perissinotti, F. / Sartelli, L. / Cassago, D. / Miyashita, H. / BACUS (Technical group) / Society of Photo-optical Instrumentation Engineers et al. | 2007
-
Investigation of airborne molecular contamination adsorption rate as storage materials in mask [6730-48]Yang, C.-K. / Cha, H.-S. / Yang, S.-J. / Kang, J.-H. / Ahn, J.-H. / Nam, K.-S. / BACUS (Technical group) / Society of Photo-optical Instrumentation Engineers et al. | 2007
-
Fast and accurate laser bandwidth modeling of optical proximity effects [6730-66]Lalovic, I. / Kritsun, O. / Bendik, J. / Smith, M. / Sallee, C. / Farrar, N. / BACUS (Technical group) / Society of Photo-optical Instrumentation Engineers et al. | 2007
-
Automatic optimization of MEEF-driven defect disposition for contamination inspection challenges [6730-80]Huang, T. / Dayal, A. / Bhattacharyya, K. / Huang, J. / Chou, W. / Cheng, Y.-F. / Yen, S.-M. / Cheng, J. / Peng, P. / BACUS (Technical group) et al. | 2007
-
Etch proximity correction by integrated model-based retargeting and OPC flow [6730-85]Shang, S. / Granik, Y. / Niehoff, M. / BACUS (Technical group) / Society of Photo-optical Instrumentation Engineers et al. | 2007
-
Performance comparison of techniques for intra-field CD control improvement [6730-107]Pforr, R. / Hennig, M. / Reichelt, J. / Zvi, G.B. / Sczyrba, M. / BACUS (Technical group) / Society of Photo-optical Instrumentation Engineers et al. | 2007
-
Teracomputing for mask data preparation [6730-120]Nogatch, J. / Kirsch, H. / Mostafa, K. / Newell, G. / Yeap, J. / BACUS (Technical group) / Society of Photo-optical Instrumentation Engineers et al. | 2007
-
Implementation of an efficient defect classification method in photomask mass production [6730-127]Liu, C. / Wang, C. / Zhang, S. / Guo, E. / Liu, S. / Lu, E.H. / Fan, D. / Wang, D. / Ma, W. / BACUS (Technical group) et al. | 2007
-
Database and data analysis strategy for multi-designer testchips [6730-133]Poppe, W.J. / Au, P. / Jayasuriya, D. / Neureuther, A. / BACUS (Technical group) / Society of Photo-optical Instrumentation Engineers et al. | 2007
-
Modeling scanner signatures in the context of OPC [6730-191]Zhang, Q. / Tyminski, J.K. / Lucas, K. / BACUS (Technical group) / Society of Photo-optical Instrumentation Engineers et al. | 2007
-
EUV mask substrate flatness improvement by laser irradiation [6730-203]Takehisa, K. / Kodama, J. / Kusunose, H. / BACUS (Technical group) / Society of Photo-optical Instrumentation Engineers et al. | 2007
-
Defect reduction progress in step and flash imprint lithography [6730-14]Selenidis, K. / Maltabes, J. / McMackin, I. / Perez, J. / Martin, W. / Resnick, D.J. / Sreenivasan, S.V. / BACUS (Technical group) / Society of Photo-optical Instrumentation Engineers et al. | 2007
-
Performance improvement of ALTA4700 for 130nm and below mask productivity [6730-17]Hsu, J.W. / Lee, D. / Tseng, C.R. / Hong, E. / Wu, C.H. / BACUS (Technical group) / Society of Photo-optical Instrumentation Engineers et al. | 2007
-
Accurate lithography analysis for yield prediction [6730-28]Yeric, G. / Hatamian, B. / Kapoor, R. / BACUS (Technical group) / Society of Photo-optical Instrumentation Engineers et al. | 2007
-
Investigation of resist effects on EUV mask defect printability [6730-41]Zhang, Z. / Liang, T. / BACUS (Technical group) / Society of Photo-optical Instrumentation Engineers et al. | 2007
-
Using the AIMS 45-193i for hyper-NA imaging applications [6730-51]De Bisschop, P. / Philipsen, V. / Birkner, R. / Buttgereit, U. / Richter, R. / Scherubl, T. / BACUS (Technical group) / Society of Photo-optical Instrumentation Engineers et al. | 2007
-
Characterizing contamination inspection capabilities using programmed defect test reticles [6730-77]Nhiev, A. / Riddick, J. / Straub, J. / Hutchinson, T. / Reese, B. / Dayal, A. / BACUS (Technical group) / Society of Photo-optical Instrumentation Engineers et al. | 2007
-
Automatic assist feature placement optimization based on process-variability reduction [6730-83]Jayaram, S. / Yehia, A. / Bahnas, M. / Omar, H.A.M. / Bozkus, Z. / Sturtevant, J.L. / BACUS (Technical group) / Society of Photo-optical Instrumentation Engineers et al. | 2007
-
Improving hyper-NA OPC using targeted measurements for model parameter extraction [6730-92]Ward, B.S. / BACUS (Technical group) / Society of Photo-optical Instrumentation Engineers et al. | 2007
-
Optimization of OPC runtime using efficient optical simulation [6730-97]Al-Imam, M. / Tawfic, W.A. / BACUS (Technical group) / Society of Photo-optical Instrumentation Engineers et al. | 2007
-
Calibration of contact areas: the influence of corner rounding [6730-115]Richter, J. / Zerbe, E.-M. / Marschner, T. / BACUS (Technical group) / Society of Photo-optical Instrumentation Engineers et al. | 2007
-
Preliminary verifiability of the aerial image measurement tool over photolithography process [6730-114]Lee, H. / Jeong, G. / Kim, S. / Han, O. / BACUS (Technical group) / Society of Photo-optical Instrumentation Engineers et al. | 2007
-
Laterally resolved off-axis phase measurements on 45-nm node production features using Phame [6730-118]Buttgereit, U. / Perlitz, S. / Seidel, D. / Lee, K.M. / Tavassoli, M. / BACUS (Technical group) / Society of Photo-optical Instrumentation Engineers et al. | 2007
-
A user-programmable link between data preparation and mask manufacturing equipment [6730-160]Zhang, W. / Davis, G. / Sahouria, E. / Schulze, S. / Saad, M. / Seyfarth, A. / Poortinga, E. / BACUS (Technical group) / Society of Photo-optical Instrumentation Engineers et al. | 2007
-
32nm half pitch node OPC process model development for three dimensional mask effects using rigorous simulation [6730-161]Melvin, L.S. / Schmoeller, T. / Li, J. / BACUS (Technical group) / Society of Photo-optical Instrumentation Engineers et al. | 2007
-
Techniques to measure force uniformity of electrostatic chucks for EUV mask clamping [6730-201]Veeraraghavan, S. / Sohn, J. / Turner, K.T. / BACUS (Technical group) / Society of Photo-optical Instrumentation Engineers et al. | 2007
-
EUV mask process development using DUV inspection system [6730-207]Kim, D. / Vellanki, V. / Huang, W. / Cao, A. / Chen, C. / Dayal, A. / Yu, P. / Park, K. / Maenaka, Y. / Jochi, K. et al. | 2007
-
Study of impacts of mask structure on hole pattern in EUVL [6730-205]Iriki, N. / Arisawa, Y. / Aoyama, H. / Tanaka, T. / BACUS (Technical group) / Society of Photo-optical Instrumentation Engineers et al. | 2007
-
The advanced mask CD MTT control using dry etch process for sub 65 nm tech [6730-07]Jo, S.J. / Jung, H.Y. / Lee, D.W. / Shin, J.C. / Jun, J.Y. / Ha, T.J. / Han, O. / BACUS (Technical group) / Society of Photo-optical Instrumentation Engineers et al. | 2007
-
Development and characterization of a new low stress molybdenum silicide film for 45 nm attenuated phase-shift mask manufacturing [6730-11]Faure, T. / Gallagher, E.E. / Kindt, L. / Nash, S. / Racette, K. / Wistrom, R. / Komizo, T. / Kikuchi, Y. / Nemoto, S. / Sasaki, Y. et al. | 2007
-
The development of full field high resolution imprint templates [6730-13]Yoshitake, S. / Sunaoshi, H. / Yasui, K. / Kobayashi, H. / Sato, T. / Nagarekawa, O. / Thompson, E. / Schmid, G. / Resnick, D.J. / BACUS (Technical group) et al. | 2007
-
Detectability and printability of EUVL mask blank defects for the 32-nm HP node [6730-38]Cho, W. / Han, H.-S. / Goldberg, K.A. / Kearney, P.A. / Jeon, C.-U. / BACUS (Technical group) / Society of Photo-optical Instrumentation Engineers et al. | 2007
-
Impact of alternative mask stacks on the imaging performance at NA 1.20 and above [6730-57]Philipsen, V. / Mesuda, K. / De Bisschop, P. / Erdmann, A. / Citarella, G. / Evanschitzky, P. / Birkner, R. / Richter, R. / Scherubl, T. / BACUS (Technical group) et al. | 2007
-
The cleaning effects of mask aerial image after FIB repair in sub-80nm node [6730-71]Lee, H. / Jeong, G. / Jeong, S. / Kim, S. / Han, O. / BACUS (Technical group) / Society of Photo-optical Instrumentation Engineers et al. | 2007
-
The study of phase-angle and transmission specifications of 6% att-EAPSM for 90nm, 65nm, and 45nm node wafer manufacturing patterning process [6730-95]Chen, G. / Garza, C. / BACUS (Technical group) / Society of Photo-optical Instrumentation Engineers et al. | 2007
-
A study of haze generation as thin film materials [6730-43]Kang, J.-H. / Cha, H.-S. / Yang, S.-J. / Yang, C.-K. / Ahn, J.-H. / Nam, K.-S. / Kim, J.-M. / Patil, M. / Hur, I.-B. / Choi, S.-S. et al. | 2007
-
Mask CD control (CDC) with ultrafast laser for improving mask CDU using AIMS as the CD metrology data source [6730-214]Ben-Zvi, G. / Zait, E. / Dmitriev, V. / Graitzer, E. / Gottlieb, G. / Leibovich, L. / Birkner, R. / Boehm, K. / Scheruebl, T. / BACUS (Technical group) et al. | 2007
-
More robust model built using SEM calibration [6730-188]Wang, C.-H. / Liu, Q. / Zhang, L. / BACUS (Technical group) / Society of Photo-optical Instrumentation Engineers et al. | 2007
-
OPC development in action for advanced technology nodes [6730-194]Wang, A.C. / Fujimoto, M. / van Adrichem, P.J.M. / Bork, I. / Yamashita, H. / BACUS (Technical group) / Society of Photo-optical Instrumentation Engineers et al. | 2007
-
UV-NIL template for the 22nm node and beyond [6730-210]Hiraka, T. / Yusa, S. / Fujii, A. / Sasaki, S. / Itoh, K. / Toyama, N. / Kurihara, M. / Mohri, H. / Hayashi, N. / BACUS (Technical group) et al. | 2007
-
Intel's AMT enables rapid processing and info-turn for Intel's DFM test chip vehicle [6730-26]Hajj, H. / BACUS (Technical group) / Society of Photo-optical Instrumentation Engineers et al. | 2007
-
From rule to model-based design: A need for DfP criteria? [6730-27]Balasinski, A. / Kachwala, N. / Abercrombie, D. / BACUS (Technical group) / Society of Photo-optical Instrumentation Engineers et al. | 2007
-
Recent performance of EUV mask blanks with low-thermal expansion glass substrates [6730-40]Shoki, T. / Yamada, T. / Shimojima, S. / Shiota, Y. / Tsukahara, M. / Koike, K. / Shishido, H. / Nozawa, O. / Sakamoto, T. / Hosoya, M. et al. | 2007
-
Characterization and monitoring of photomask edge effects [6730-64]Miller, M.A. / Neureuther, A.R. / Ceperley, D.P. / Rubinstein, J. / Kikuchi, K. / BACUS (Technical group) / Society of Photo-optical Instrumentation Engineers et al. | 2007
-
Repairing 45 nm node defects through nano-machining [6730-70]White, R. / Dinsdale, A. / Robinson, T. / Brinkley, D. / Csuy, J. / Lee, D. / BACUS (Technical group) / Society of Photo-optical Instrumentation Engineers et al. | 2007
-
Validating optical proximity correction with models, masks and wafers [6730-94]Marokkey, S. / Conrad, E.W. / Gallagher, E.E. / Ikeda, H. / Bruce, J.A. / Lawliss, M. / BACUS (Technical group) / Society of Photo-optical Instrumentation Engineers et al. | 2007
-
E-beam direct write is free [6730-100]Glasser, L.A. / BACUS (Technical group) / Society of Photo-optical Instrumentation Engineers et al. | 2007
-
Driving photomask supplier quality through automation [6730-101]Russell, D. / Espenscheid, A. / BACUS (Technical group) / Society of Photo-optical Instrumentation Engineers et al. | 2007
-
Multi-layer reticle (MLR) strategy application to double-patterning/double-exposure for better overlay error control and mask cost reduction [6730-102]Yamamoto, Y. / Rigby, R. / Sweis, J. / BACUS (Technical group) / Society of Photo-optical Instrumentation Engineers et al. | 2007
-
Correction technique of EBM-6000 prepared for EUV mask writing [6730-105]Yoshitake, S. / Sunaoshi, H. / Yashima, J. / Tamamushi, S. / Ogasawara, M. / BACUS (Technical group) / Society of Photo-optical Instrumentation Engineers et al. | 2007
-
Images in photoresist for self-interferometric electrical image monitors [6730-177]Rubinstein, J. / Neureuther, A.R. / BACUS (Technical group) / Society of Photo-optical Instrumentation Engineers et al. | 2007
-
Measurements of corner rounding in 2D contact holes on phase-shift masks using broadband reflectance and transmittance spectra in conjunction with RCWA [6730-116]Gray, A. / Lam, J.C. / Chen, S. / Richter, J. / BACUS (Technical group) / Society of Photo-optical Instrumentation Engineers et al. | 2007
-
Reduction of layout complexity for shorter mask write-time [6730-123]Hannon, S. / Lewis, T. / Goad, S. / Jantzen, K. / Wang, J. / Vu, H.T. / Sahouria, E. / Schulze, S. / BACUS (Technical group) / Society of Photo-optical Instrumentation Engineers et al. | 2007
-
Improving inspectability with KLA-Tencor TeraScan thin line de-sense [6730-126]Chen, C. / Kim, D. / Park, K.H. / Kim, N. / Han, S.H. / Park, J.H. / Chung, D.H. / BACUS (Technical group) / Society of Photo-optical Instrumentation Engineers et al. | 2007
-
Pellicle dimensions for high NA photomasks [6730-139]Erber, F. / Schulmeyer, T. / Holfeld, C. / BACUS (Technical group) / Society of Photo-optical Instrumentation Engineers et al. | 2007
-
Acid diffusion length limitation for 45 nm node attenuated and chromeless phase shift mask [6730-143]Kang, Y.-M. / Park, S.-W. / Oh, H.-K. / BACUS (Technical group) / Society of Photo-optical Instrumentation Engineers et al. | 2007
-
Resistless mask structuring using an ion multi-beam projection pattern generator [6730-148]Butschke, J. / Irmscher, M. / Letzkus, F. / Loeschner, H. / Nedelmann, L. / Platzgummer, E. / BACUS (Technical group) / Society of Photo-optical Instrumentation Engineers et al. | 2007
-
The effect of size and shape of sub-50 nm defects on their detectability [6730-200]Rastegar, A. / Cho, W. / Gullikson, E. / Eichenlaub, S. / BACUS (Technical group) / Society of Photo-optical Instrumentation Engineers et al. | 2007
-
Performance of actinic EUVL mask imaging using a zoneplate microscope [6730-199]Goldberg, K.A. / Naulleau, P.P. / Barty, A. / Rekawa, S.B. / Kemp, C.D. / Gunion, R.F. / Salmassi, F. / Gullikson, E.M. / Anderson, E.H. / Han, H.-S. et al. | 2007
-
Mask Industry Assessment: 2007 [6730-02]Shelden, G. / Marmillion, P. / Hughes, G. / BACUS (Technical group) / Society of Photo-optical Instrumentation Engineers et al. | 2007
-
Characterizing photomask etch processes by phase component analysis (PCA) [6730-06]Wistrom, R. / Komizo, T. / Hibbs, M. / Reid, G. / BACUS (Technical group) / Society of Photo-optical Instrumentation Engineers et al. | 2007
-
Use of layout automation and design-based metrology for defect test mask design and verification [6730-25]Spence, C. / Tabery, C. / Poock, A. / Duerr, A.C. / Witte, T. / Fiebig, J. / Heumann, J. / BACUS (Technical group) / Society of Photo-optical Instrumentation Engineers et al. | 2007
-
Non-uniform yield optimization for integrated circuit layout [6730-34]Pikus, F.G. / Torres, J.A. / BACUS (Technical group) / Society of Photo-optical Instrumentation Engineers et al. | 2007
-
Impact of mask absorber properties on printability in EUV lithography [6730-42]Kamo, T. / Aoyama, H. / Tanaka, T. / Suga, O. / BACUS (Technical group) / Society of Photo-optical Instrumentation Engineers et al. | 2007
-
DPL performance analysis strategy with conventional workflow [6730-53]Toyama, N. / Inazuki, Y. / Sutou, T. / Nagai, T. / Morikawa, Y. / Mohri, H. / Hayashi, N. / Miyazaki, J. / Chen, A. / Samarakone, N. et al. | 2007
-
The MEEF NILS divergence for low k1 lithography [6730-56]Schenker, R. / Cheng, W. / Allen, G. / BACUS (Technical group) / Society of Photo-optical Instrumentation Engineers et al. | 2007
-
Polarization aberration modeling via Jones matrix in the context of OPC [6730-60]Zhang, Q. / Song, H. / Lucas, K. / BACUS (Technical group) / Society of Photo-optical Instrumentation Engineers et al. | 2007
-
Simulation of larger mask areas using the waveguide method with fast decomposition technique [6730-59]Evanschitzky, P. / Shao, F. / Erdmann, A. / Reibold, D. / BACUS (Technical group) / Society of Photo-optical Instrumentation Engineers et al. | 2007
-
EMF simulations of isolated and periodic 3D photomask patterns [6730-163]Burger, S. / Zschiedrich, L. / Schmidt, F. / Kohle, R. / Kuchler, B. / Nolscher, C. / BACUS (Technical group) / Society of Photo-optical Instrumentation Engineers et al. | 2007
-
Exploring the sources of MEEF in contact SRAMs [6730-87]Gallagher, E. / Stobert, I. / Higuchi, M. / Samuels, D. / BACUS (Technical group) / Society of Photo-optical Instrumentation Engineers et al. | 2007
-
Simultaneous model-based main feature and SRAF optimization for 2D SRAF implementation to 32 nm critical layers [6730-186]Yehia, A. / Tritchkov, A. / BACUS (Technical group) / Society of Photo-optical Instrumentation Engineers et al. | 2007
-
Fast synthesis of topographic mask effects based on rigorous solutions [6730-91]Yan, Q. / Deng, Z. / Shiely, J. / BACUS (Technical group) / Society of Photo-optical Instrumentation Engineers et al. | 2007
-
Selective process aware OPC for memory device [6730-93]Shim, W. / Suh, S. / Amoroso, F. / Lugg, R. / Lee, S. / Oh, S.-H. / Lee, J. / Ahn, T.-H. / Kang, C.-J. / BACUS (Technical group) et al. | 2007
-
LRC techniques for improved error detection throughout the process window [6730-119]Lee, V. / Tsai, S.-H. / Zhu, J. / Wang, L. / Yang, S.-M. / White, D. / BACUS (Technical group) / Society of Photo-optical Instrumentation Engineers et al. | 2007
-
Mask manufacturability improvement by MRC [6730-122]Balasinski, A. / Coburn, D. / Buck, P. / BACUS (Technical group) / Society of Photo-optical Instrumentation Engineers et al. | 2007
-
Automating defect disposition in fabs and maskshops [6730-130]Fiekowsky, P. / Narukawa, S. / Kawashima, T. / BACUS (Technical group) / Society of Photo-optical Instrumentation Engineers et al. | 2007
-
Mask calibration dominated methodology for OPC matching [6730-156]Zhu, L. / Lu, M. / King, D. / Gu, Y. / Yang, S. / Melvin, L.S. / BACUS (Technical group) / Society of Photo-optical Instrumentation Engineers et al. | 2007
-
Improvements in model-based assist feature placement algorithms [6730-182]Painter, B. / Barnes, L.D. / Mayhew, J.P. / Wang, Y. / BACUS (Technical group) / Society of Photo-optical Instrumentation Engineers et al. | 2007
-
Modeling polarized illumination for OPC/RET [6730-192]Song, H. / Zhang, Q. / Shiely, J. / BACUS (Technical group) / Society of Photo-optical Instrumentation Engineers et al. | 2007
-
CD bias control with in-situ plasma treatment in EPSM photomask etch [6730-09]Yung, K. / Choi, C.J. / Baik, K.-H. / BACUS (Technical group) / Society of Photo-optical Instrumentation Engineers et al. | 2007
-
DFM for maskmaking: design-aware flexible mask-defect analysis [6730-24]Driessen, F.A.J.M. / Westra, J. / Scheffer, M. / Kawakami, K. / Tsujimoto, E. / Yamaji, M. / Kawashima, T. / Hayashi, N. / BACUS (Technical group) / Society of Photo-optical Instrumentation Engineers et al. | 2007
-
Measuring and characterizing the nonflatness of EUVL reticles and electrostatic chucks [6730-39]Engelstad, R.L. / Turner, K.T. / Nataraju, M. / Sohn, J. / Mikkelson, A.R. / Battula, V.S. / Vukkadala, P. / Zeuske, J.R. / Van Peski, C.K. / BACUS (Technical group) et al. | 2007
-
Integrated photomask defect printability check, mask repair, and repair validation procedure for phase-shifting masks for the 45-nm node and beyond [6730-68]Ehrlich, C. / Buttgereit, U. / Boehm, K. / Scheruebl, T. / Edinger, K. / Bret, T. / BACUS (Technical group) / Society of Photo-optical Instrumentation Engineers et al. | 2007
-
Sensitivity comparison of fast integrated die-to-die T+R pattern inspection, standard database inspection, and STARlight2 contamination mode for application in mask production [6730-74]Schmalfuss, H. / Schulmeyer, T. / Heumann, J. / Lang, M. / Sier, J.-P. / BACUS (Technical group) / Society of Photo-optical Instrumentation Engineers et al. | 2007
-
Inspection results for 32nm logic and sub-50nm half-pitch memory reticles using the TeraScanHR [6730-79]Sier, J.-P. / Broadbent, W. / Mirzaagha, F. / Yu, P. / BACUS (Technical group) / Society of Photo-optical Instrumentation Engineers et al. | 2007
-
The improvement of OPC accuracy and stability by the model parameters' analysis and optimization [6730-88]Chung, N.-Y. / Choi, W.-H. / Lee, S.-H. / Kim, S.-I. / Lee, S.-Y. / BACUS (Technical group) / Society of Photo-optical Instrumentation Engineers et al. | 2007
-
A generic technique for reducing OPC iteration: fast forward OPC [6730-187]Hong, L. / Sturtevant, J. / BACUS (Technical group) / Society of Photo-optical Instrumentation Engineers et al. | 2007
-
Better on wafer performance and mask manufacturability of contacts with no or nontraditional serifs [6730-96]Samuels, D. / Stobert, I. / BACUS (Technical group) / Society of Photo-optical Instrumentation Engineers et al. | 2007
-
Optimizing defect inspection strategy through the use of design-aware database control layers [6730-75]Stoler, D. / Ruch, W. / Ma, W. / Chakravarty, S. / Liu, S. / Morgan, R. / Valadez, J. / Moore, B. / Burns, J. / BACUS (Technical group) et al. | 2007
-
Model-based mask verification [6730-185]Foussadier, F. / Sundermann, F. / Vacca, A. / Wiley, J. / Chen, G. / Takigawa, T. / Hayano, K. / Narukawa, S. / Kawashima, S. / Mohri, H. et al. | 2007
-
The effect of the OPC parameters on the performance of the OPC model [6730-190]Abdo, A. / Seoud, A. / Wei, A. / Stobert, I. / Leslie, A. / BACUS (Technical group) / Society of Photo-optical Instrumentation Engineers et al. | 2007
-
Fundamental study on the error factor for sub 90nm OPC modeling [6730-193]Lee, H. / Lee, S.-U. / Kim, J. / Kim, K. / BACUS (Technical group) / Society of Photo-optical Instrumentation Engineers et al. | 2007
-
The study of CD error in mid-local pattern area caused by develop loading effect [6730-16]Kang, M.-K. / Lee, J.-H. / Kim, S.-Y. / Kim, B.-G. / Woo, S.-G. / Cho, H.-K. / BACUS (Technical group) / Society of Photo-optical Instrumentation Engineers et al. | 2007
-
The behavior of substrate dependency as surface treatment in the positive chemically amplified resist [6730-18]Yang, S.-J. / Cha, H.-S. / Kang, J.-H. / Yang, C.-K. / Ahn, J.-H. / Nam, K.-S. / BACUS (Technical group) / Society of Photo-optical Instrumentation Engineers et al. | 2007
-
New method of contour-based mask-shape compiler [6730-21]Matsuoka, R. / Sugiyama, A. / Onizawa, A. / Sato, H. / Toyoda, Y. / BACUS (Technical group) / Society of Photo-optical Instrumentation Engineers et al. | 2007
-
The impact of mask photoresist develop on critical dimension parameters [6730-20]Smith, A.C. / Sullivan, D.B. / Sugawara, K. / Okawa, Y. / BACUS (Technical group) / Society of Photo-optical Instrumentation Engineers et al. | 2007
-
Design for CD correction strategy using a resist shrink method via UV irradiation for defect-free photomask [6730-19]Ryu, J.H. / Lee, D.W. / Jung, H.Y. / Kim, S.P. / Han, O. / BACUS (Technical group) / Society of Photo-optical Instrumentation Engineers et al. | 2007
-
Investigation of mask defectivity in full field EUV lithography [6730-37]Jonckheere, R. / Iwamoto, F. / Lorusso, G.F. / Goethals, A.M. / Ronse, K. / Koop, H. / Schmoeller, T. / BACUS (Technical group) / Society of Photo-optical Instrumentation Engineers et al. | 2007
-
A practical solution to the critical problem of 193 nm reticle haze [6730-45]Kishkovich, O. / Halbmaier, D. / Gabarre, X. / Grenon, B. / Lo, J. / Lam, A. / Chen, T. / BACUS (Technical group) / Society of Photo-optical Instrumentation Engineers et al. | 2007
-
Pattern split rules! A feasibility study of rule based pitch decomposition for double patterning [6730-152]van Oosten, A. / Nikolsky, P. / Huckabay, J. / Goossens, R. / Naber, R. / BACUS (Technical group) / Society of Photo-optical Instrumentation Engineers et al. | 2007
-
Estimating DPL photomask fabrication load compared with single exposure [6730-54]Toyama, N. / Inazuki, Y. / Sutou, T. / Nagai, T. / Morikawa, Y. / Mohri, H. / Hayashi, N. / Huckabay, J.A. / Abe, Y. / BACUS (Technical group) et al. | 2007
-
Validation of a fast and accurate 3D mask model for SRAF printability analysis at 32nm node [6730-61]Liu, P. / Zuniga, C. / Ma, Z. / Feng, H. / BACUS (Technical group) / Society of Photo-optical Instrumentation Engineers et al. | 2007
-
Enhancing productivity and sensitivity in mask production via a fast integrated die-to-database T+R inspection [6730-129]Lu, E.H. / Wu, D. / Chen, E. / Badoni, R. / BACUS (Technical group) / Society of Photo-optical Instrumentation Engineers et al. | 2007
-
Progressive growth and hard defect disposition integrated system for 65nm and 45nm ArF immersion lithography [6730-76]Chua, G.S. / Tan, S.K. / Choi, B.I. / Lee, O.Y. / Kim, J.S. / BACUS (Technical group) / Society of Photo-optical Instrumentation Engineers et al. | 2007
-
Resolution enhancement by aerial image approximation with 2D-TCC [6730-86]Yamazoe, K. / Sekine, Y. / Kawashima, M. / Hakko, M. / Ono, T. / Honda, T. / BACUS (Technical group) / Society of Photo-optical Instrumentation Engineers et al. | 2007
-
Improvement of mask CD uniformity for below 45-nm node technology [6730-104]Lee, H. / Bae, S. / Park, J. / Nam, D. / Kim, B. / Woo, S.-G. / Cho, H. / BACUS (Technical group) / Society of Photo-optical Instrumentation Engineers et al. | 2007
-
Improving the CD linearity and proximity performance of photomasks written on the Sigma7500-II DUV laser writer through embedded OPC [6730-109]Osterberg, A. / Ivansen, L. / Beyerl, A. / Newman, T. / Bowhill, A. / Sahouria, E. / Schulze, S. / BACUS (Technical group) / Society of Photo-optical Instrumentation Engineers et al. | 2007
-
Photomask applications of traceable atomic force microscope dimensional metrology at NIST [6730-117]Dixson, R. / Orji, N.G. / Potzick, J. / Fu, J. / Allen, R.A. / Cresswell, M. / Smith, S. / Walton, A.J. / Tsiamis, A. / BACUS (Technical group) et al. | 2007
-
Evaluation of attenuated PSM photomask blanks with TF11 chrome and FEP-171 resist on a 248 nm DUV laser pattern generator [6730-140]Xing, K. / Bjornborg, C. / Karlsson, H. / Paulsson, A. / Rosendahl, A. / Beiming, P. / Vedenpaa, J. / Walford, J. / Newman, T. / BACUS (Technical group) et al. | 2007
-
Effective area partitioning for preparing parallel processing in mask data preparation [6730-154]Satou, Y. / Okamoto, Y. / Fujimoto, M. / Tsuchida, H. / Satou, A. / BACUS (Technical group) / Society of Photo-optical Instrumentation Engineers et al. | 2007
-
OPC verification on cell level using fully rigorous mask topography simulation [6730-162]Domnenko, V. / Klimpel, T. / Viehoever, G. / Koop, H. / Melvin, L.S. / Schmoeller, T. / BACUS (Technical group) / Society of Photo-optical Instrumentation Engineers et al. | 2007
-
Industry survey of wafer fab reticle quality control strategies in the 90nm-45nm design-rule age [6730-196]Dover, R. / BACUS (Technical group) / Society of Photo-optical Instrumentation Engineers et al. | 2007
-
Development status of EUVL mask blanks in AGC [6730-198]Hayashi, K. / BACUS (Technical group) / Society of Photo-optical Instrumentation Engineers et al. | 2007
-
Metrology for templates of UV nano imprint lithography [6730-209]Yoshida, K. / Kojima, K. / Abe, M. / Sasaki, S. / Kurihara, M. / Mohri, H. / Hayashi, N. / BACUS (Technical group) / Society of Photo-optical Instrumentation Engineers et al. | 2007