Towards composable and extensible network simulation (English)
- New search for: Tyan, Hung-Ying
- New search for: Sobeih, A.
- New search for: Hou, J.C.
- New search for: Tyan, Hung-Ying
- New search for: Sobeih, A.
- New search for: Hou, J.C.
-
ISBN:
- Conference paper / Storage medium
-
Title:Towards composable and extensible network simulation
-
Contributors:
-
Published in:
-
Publisher:
- New search for: IEEE Computer Society Press
-
Place of publication:Los Alamitos
-
Publication date:2005
-
Size:8 Seiten, 23 Quellen
-
Remarks:pp.
-
ISBN:
-
Type of media:Conference paper
-
Type of material:Storage medium
-
Language:English
-
Keywords:
-
Source:
Table of contents conference proceedings
The tables of contents are generated automatically and are based on the data records of the individual contributions available in the index of the TIB portal. The display of the Tables of Contents may therefore be incomplete.
- 1
-
Message from PDSEC-05 workshop chairs| 2005
- 1 pp.
-
Peta-scale computingKronstadt, E.P. et al. | 2005
- 1 pp.
-
Sustained petaflop and beyond: can parallel computing systems meet the challenges?Gao, G.R. et al. | 2005
- 1 pp.
-
A unifying theory of distributed processing (or, the Chutzpah one should expect when you invite a microarchitect into your sandbox)Patt, Y.N. et al. | 2005
- 1 pp.
-
Wireless sensor networks - where parallel and distributed processing meets the real worldCuller, D.E. et al. | 2005
- 3 pp.
-
The promise of quantum computing and quantum information theory - quantum parallelismMarinescu, D.C. et al. | 2005
- 3 pp.
-
Dynamic resource management algorithm for a distributed real-time systemCavanaugh, C. / Radhika Ari, et al. | 2005
- 4 pp.
-
Adaptive filters for the dependable control of autonomous robot systemsGrosspietsch, K.-E. et al. | 2005
- 4 pp.
-
Domain-specific reconfigurable array targeting discrete wavelet transform for system-on-chip applicationsBaloch, S. / Ahmed, I. / Arslan, T. et al. | 2005
- 4 pp.
-
Reconfigurable sequential consistency algorithmPousa, C.V. / Goes, L.F.W. / Da Penha, D.O. / Martins, C.A.P.S. et al. | 2005
- 4 pp.
-
An FPGA-based, multi-model simulation method for biochemical systemsOsana, Y. / Fukushima, T. / Yoshimi, M. / Iwaoka, Y. / Funahashi, A. / Hiroi, N. / Shibata, Y. / Kitano, H. / Amano, H. et al. | 2005
- 4 pp.
-
A low-power and domain-specific reconfigurable FFT fabric for system-on-chip applicationsZhao, Y. / Erdogan, A.T. / Arslan, T. et al. | 2005
- 4 pp.
-
System support to balance the resource supply and demand in high-end computingXiaodong Zhang, et al. | 2005
- 4 pp.
-
Embedded MPLS architecturePeterkin, R. / Dan Ionescu, et al. | 2005
- 4 pp.
-
Reliability-conscious process scheduling under performance constraints in FPGA-based embedded systemsChen, G. / Kandemir, M. / Tosun, S. / Sezer, U. et al. | 2005
- 4 pp.
-
Buffer-architecture exploration for routers in a hierarchical network-on-chipZimmer, H. / Zink, S. / Hollstein, T. / Glesner, M. et al. | 2005
- 4 pp.
-
Experiences with soft-core processor designPlavec, F. / Fort, B. / Vranesic, Z.G. / Brown, S.D. et al. | 2005
- 4 pp.
-
Programming configurable multiprocessorsGuccione, S.A. et al. | 2005
- 4 pp.
-
Bioinformatics on a heterogeneous Java distributed systemPage, A.J. / Keane, T.M. / Naughton, T.J. et al. | 2005
- 4 pp.
-
Placement-oriented modeling of partially reconfigurable architecturesKoester, M. / Porrmann, M. / Ruckert, U. et al. | 2005
- 4 pp.
-
An FPGA based test bed for bio inspired computationKolin Paul, et al. | 2005
- 4 pp.
-
Online detection and diagnosis of multiple configuration upsets in LUTs of SRAM-based FPGAsSyam Sundar Reddy, E. / Vikram Chandrasekhar, / Sashikanth, M. / Kamakoti, V. / Vijaykrishnan, N. et al. | 2005
- 4 pp.
-
Dynamic reconfiguration of mechatronic real-time systems based on configuration state machinesToscher, S. / Kasper, R. / Reinemann, T. et al. | 2005
- 4 pp.
-
Low power data prefetch for 3D image applications on coarse-grain reconfigurable architecturesRivera, F. / Sanchez-Elez, M. / Fernandez, M. / Hermida, R. / Bagherzadeh, N. et al. | 2005
- 4 pp.
-
A lightweight scheme for auto-configuration in mobile ad hoc networksKim, M.J. / Kumar, M. / Shirazi, B.A. et al. | 2005
- 4 pp.
-
Detection and reaction to unplanned operational events in large scale distributed real-time embedded systemsYe, J. / Loyall, J. / Schantz, R. / Duzan, G. et al. | 2005
- 4 pp.
-
A low-cost realization of an adaptable protocol processing unitBoden, M. / Gleich, A. / Rulke, S. / Nageldinger, U. et al. | 2005
- 4 pp.
-
Domain specific reconfigurable architecture of turbo decoder optimized for short distance wireless communicationAhmed, I. / Arslan, T. / Baloch, S. / Underwood, I. / Woodburn, R. et al. | 2005
- 4 pp.
-
P2PDisCo - Java distributed computing for workstations using Chedar peer-to-peer middlewareKotilainen, N. / Vapa, M. / Weber, M. / Toyryla, J. / Vuori, J. et al. | 2005
- 4 pp.
-
A design methodology for dynamic reconfiguration: the Caronte architectureFerrandi, F. / Santambrogio, M.D. / Sciuto, D. et al. | 2005
- 4 pp.
-
Generic design space exploration for reconfigurable architecturesBossuet, L. / Gogniat, G. / Philippe, J.-L. et al. | 2005
- 4 pp.
-
Run-time reconfiguration support for FPGAs with embedded CPUs: the hardware layerFerreira, J.C. / Silva, M.M. et al. | 2005
- 4 pp.
-
DAGGER: a novel generic methodology for FPGA bitstream generation and its software tool implementationSiozios, K. / Koutroumpezis, G. / Tatas, K. / Soudris, D. / Thanailakis, A. et al. | 2005
- 4 pp.
-
Dynamic delay-fault injection for reconfigurable hardwareFechner, B. et al. | 2005
- 4 pp.
-
A compiler method for memory-conscious mapping of applications on coarse-grained reconfigurable architecturesDimitroulakos, G. / Galanis, M.D. / Goutis, C.E. et al. | 2005
- 4 pp.
-
Reconfigurable address generators for stream-based computation implemented on FPGAsVistnes, K.E. / Soeraasen, O. et al. | 2005
- 4 pp.
-
IP lookup on a platform FPGA: a comparative studyBemmann, D. et al. | 2005
- 4 pp.
-
Analysis of hardware acceleration in reconfigurable embedded systemsOuellette, M. / Connors, D. et al. | 2005
- 4 pp.
-
Low-power reconfigurable VLSI architecture for the implementation of FIR filtersStefatos, E.F. / Wei, H. / Arslan, T. / Thomson, R. et al. | 2005
- 5 pp.
-
Scenario-oriented design for single chip heterogeneous multiprocessorsPaul, J.A.M. et al. | 2005
- 5 pp.
-
A Framework for dynamic service adaptation in the grid: next generation software program progress reportWeissman, J.B. / Kim, S. / England, D. et al. | 2005
- 5 pp.
-
Information assurance in wireless sensor networksOlariu, S. / Xu, Q. et al. | 2005
- 5 pp.
-
A versatile, proactive dependability approach to handling unanticipated events in distributed systemsPriya Narasimhan, / Raj Rajkumar, / Gautam Thaker, / Lardieri, P. et al. | 2005
- 5 pp.
-
An experiment with high performance components for grid applicationsCoppola, M. / Pasquali, M. / Presti, L. / Vanneschi, M. et al. | 2005
- 5 pp.
-
An extended particle swarm optimizerXu Jun-jie, / Xin Zhan-hong, et al. | 2005
- 5 pp.
-
An event processing language (EPL) for building sense and respond applicationsAlbek, E. / Bax, E. / Billock, G. / Chandy, K.M. / Swett, I. et al. | 2005
- 5 pp.
-
A proxy-network based overlay topology resistant to DoS attacks and partitioningFrechette, S. et al. | 2005
- 6 pp.
-
MPISH: a parallel shell for MPI programsNarayan Desai, / Lusk, A. / Bradshaw, R. / Lusk, E. et al. | 2005
- 6 pp.
-
A low-power reconfigurable datapath for advanced speech coding algorithmsKatsoulakis, K. / Arslan, T. / Kirkham, T. / Khawam, S. et al. | 2005
- 6 pp.
-
JSDESLib: a library for the development of discrete-event simulation tools of parallel systemsGoes, L.F.W. / Pousa, C.V. / Carvalho, M.B. / Ramos, L.E.S. / Martin, C.A.P.S. et al. | 2005
- 6 pp.
-
Possibilities to solve the clique problem by thread parallelism using task poolsBlaar, H. / Lange, T. / Winter, R. / Karnstedt, M. et al. | 2005
- 6 pp.
-
Impact of page size on communication performanceXiaocheng Zhou, / Zhigang Huo, / Ninghui Sun, / Yingchao Zhou, et al. | 2005
- 6 pp.
-
Channel-state based scheduling in wireless sensor networks for reliable transmissionSharma, P. et al. | 2005
- 6 pp.
-
Predictive methods for location services in mobile ad hoc networksLuo, X. / Camp, T. / Navidi, W. et al. | 2005
- 6 pp.
-
Parallel and flexible multiprocessor system-on-chip for adaptive automotive applications based on Xilinx MicroBlaze soft-coresHubner, M. / Paulsson, K. / Becker, J. et al. | 2005
- 6 pp.
-
Statistical methods for the discovery of co-operative transcription factors: co-bind code revisedLavorgna, G. / Marongiu, A. / Melchionna, S. / Palazzari, P. / Rosato, V. / Verrecchia, P. et al. | 2005
- 6 pp.
-
Dynamic scalable visualization for collaborative scientific applicationsLi, K. / Hibbs, M. / Wallace, G. / Troyanskaya, O. et al. | 2005
- 6 pp.
-
A method for MPI broadcast in computational gridsMateescu, G. et al. | 2005
- 6 pp.
-
FPGA implementations of the massively parallel GCA modelHeenes, W. / Hoffmann, R. / Kanthak, S. et al. | 2005
- 6 pp.
-
A comparison of MPI and process networksParks, T.M. et al. | 2005
- 6 pp.
-
GHS: a performance system of grid computingXian-He Sun, / Ming Wu, et al. | 2005
- 6 pp.
-
Optimized dynamic grid-based DDM protocol for large-scale distributed simulation systemsBoukerche, A. / Lu, K. et al. | 2005
- 6 pp.
-
A reconfigurable processor based on ALU array architecture with limitation on the interconnectionOkada, M. / Hiramatsu, T. / Nakajima, H. / Ozone, M. / Hirase, K. / Kimura, S. et al. | 2005
- 6 pp.
-
A grid certificate authority for community and ad-hoc gridsvon Laszewski, G. / Sosonkin, M. et al. | 2005
- 6 pp.
-
Asynchronous peer-to-peer Web services and firewallsCaromel, D. / di Costanzo, A. / Gannon, D. / Slominski, A. et al. | 2005
- 6 pp.
-
A model-driven performance analysis framework for distributed, performance-sensitive software systemsGokhale, S.S. / Aniruddha Gokhale, / Gray, J. et al. | 2005
- 7 pp.
-
Solving three-dimensional transport models with synchronous and asynchronous iterative algorithms in a grid computing environmentBahi, J.M. / Couturier, R. / Salomon, M. et al. | 2005
- 7 pp.
-
Improving energy-efficiency by bypassing trivial computationsAtoofian, E. / Baniasadi, A. et al. | 2005
- 7 pp.
-
High performance of artificial neural network for resolving ambiguous nucleotide problemPlaimas, K. / Lursinsap, C. / Suratanee, A. et al. | 2005
- 7 pp.
-
A pattern-based domain partition approach to parallel optical proximity correction in VLSI designsShao-Ming Yu, / Yiming Li, et al. | 2005
- 7 pp.
-
Parallel ant colony optimization for 3D protein structure prediction using the HP lattice modelChu, D. / Till, M. / Zomaya, A. et al. | 2005
- 7 pp.
-
Self-stabilizing anonymous leader election in a treeXu, Z. / Srimani, P.K. et al. | 2005
- 7 pp.
-
Reconfigurable, data-driven resource allocation in complex systems: practice and theoretical foundationsSmirni, E. et al. | 2005
- 7 pp.
-
Satellite formation, a mobile sensor network in spaceKrishnamurthy, A. / Preis, R. et al. | 2005
- 7 pp.
-
The effect of different failure recovery procedures on the distribution of task completion timesSheahan, R. / Lipsky, L. / Fiorini, P. et al. | 2005
- 7 pp.
-
A highly parallel algorithm for the numerical simulation of unsteady diffusion processesZhuang, Y. / Sun, X.-H. et al. | 2005
- 7 pp.
-
Dynamic QoS-aware coalition formationNogueira, L. / Pinho, L.M. et al. | 2005
- 7 pp.
-
Designing efficient Java communications on clustersTaboada, G.L. / Tourino, J. / Doallo, R. et al. | 2005
- 7 pp.
-
Dynamic critical path scheduling parallel programs onto multiprocessorsHakem, M. / Butelle, F. et al. | 2005
- 7 pp.
-
Towards an efficient and scalable discontinuous Galerkin atmospheric modelDennis, J.M. / Levy, M. / Nair, R.D. / Tufo, H.M. / Voran, T. et al. | 2005
- 7 pp.
-
Stream PRAMUlm, D.R. / Scherger, M. et al. | 2005
- 7 pp.
-
Performance analysis of optical multistage interconnection networks with limited crosstalkKatangur, A.K. / Pan, Y. et al. | 2005
- 7 pp.
-
A lightweight kernel for the Harness metacomputing frameworkEngelmann, C. / Geist, G.A. et al. | 2005
- 7 pp.
-
Modeling viral spread by random scanning and its relationship with the epidemiological modelUchida, M. et al. | 2005
- 7 pp.
-
Traffic temporal analysis for reconfigurable interconnects in shared-memory systemsHeirman, W. / Dambre, J. / Van Campenhout, J. / Debaes, C. / Thienpont, H. et al. | 2005
- 7 pp.
-
FROST: revisited and distributedPoirriez, V. / Marin, A. / Andonov, R. / Gibrat, J.-F. et al. | 2005
- 7 pp.
-
Optimizing checkpoint sizes in the C3 systemMarques, D. / Bronevetsky, G. / Fernandes, R. / Keshav Pingali, / Stodghill, P. et al. | 2005
- 7 pp.
-
Proactive fault handling for system availability enhancementSalfner, F. / Malek, M. et al. | 2005
- 7 pp.
-
An improved algorithm for uP+vQ on a family of elliptic curvesZhu YueFei, / Kuang BaiJie, / Zhang YaJuan, et al. | 2005
- 7 pp.
-
The quality of service satisfiability thesis: resource and performance prediction for multi-processor systems and random schedulesParks, A.D. et al. | 2005
- 7 pp.
-
Adaptive software for scientific computing: co-managing quality-performance-power tradeoffsRaghavan, R. / Irwin, M.J. / McInnes, L.C. / Norris, B. et al. | 2005
- 7 pp.
-
Parallel algorithm for multiple genome alignment on the Grid environmentAhmed, N. / Pan, Y. / Vandenberg, A. et al. | 2005
- 7 pp.
-
A cordic-based processor extension for scalar and vector processingFarivar, R. / Fazeli, M. / Sarbazi-Azad, H. et al. | 2005
- 7 pp.
-
Avalanche photodiode gain and impulse response calculation on a public computing platformPellicer, S. / Pan, Y. / Sun, P. / Hayat, M. et al. | 2005
- 7 pp.
-
An architecture for software-based iSCSI on multiprocessor serversFoong, A. / McAlpine, G. / Minturn, D. / Regnier, G. / Saletore, V. et al. | 2005
- 7 pp.
-
An optically differential reconfigurable gate array VLSI chip with a dynamic reconfiguration circuitWatanabe, M. / Kobayashi, F. et al. | 2005
- 7 pp.
-
Power quality disturbance detection using artificial intelligence: a hardware approachChoong, F. / Reaz, M.B.I. / Mohd-Yasin, F. et al. | 2005
- 7 pp.
-
A dynamic hybrid scheduling algorithm with clients' departure for impatient clients in heterogeneous environmentsSaxena, N. / Kalyan Basu, / Das, S.K. / Pinotti, C.M. et al. | 2005
- 7 pp.
-
Optimal mapping of a parallel application processes onto heterogeneous platformKalinov, A. / Klimov, S. et al. | 2005
- 7 pp.
-
Adaptive inter-system handover for heterogeneous RF and IR networksJindong Hou, / O'Brien, D.C. et al. | 2005
- 7 pp.
-
Control of temporal constraints based on dioid algebra for timed event graphsAmari, S. / Loiseau, J.J. / Demongodin, I. et al. | 2005
- 7 pp.
-
Architecture of a multi-context FPGA using reconfigurable context memoryChong, W. / Ogata, S. / Hariyama, M. / Kameyama, M. et al. | 2005
- 7 pp.
-
IPSec implementation on Xilinx Virtex-II Pro FPGA and its applicationLu, J. / Lockwood, J. et al. | 2005
- 7 pp.
-
Towards quantitative analysis of real-time UML using stochastic Petri netsTrowitzsch, J. / Zimmermann, A. / Hommel, G. et al. | 2005
- 7 pp.
-
An evaluation of two implementation strategies for optimizing one-sided atomic reductionNieplocha, J. / Vinod Tipparaju, / Apra, E. et al. | 2005
- 7 pp.
-
Performance of fault-tolerant distributed shared memory on broadcast- and switch-based architecturesKatsinis, C. et al. | 2005
- 8 pp.
-
A generalized algorithm for the generation of correlated Rayleigh fading envelopes in radio channelsTran, L.C. / Wysocki, T.A. / Seberry, J. / Mertins, A. et al. | 2005
- 8 pp.
-
A localized, distributed protocol for secure information exchange in sensor networksDimitriou, T. / Krontiris, I. et al. | 2005
- 8 pp.
-
Object-distribution analysis for program decomposition and re-clusteringStahl, R. / Catthoor, F. / Verkest, D. et al. | 2005
- 8 pp.
-
MegaProto: a low-power and compact cluster for high-performance computingNakashima, H. / Nakamura, H. / Sato, M. / Boku, T. / Matsuoka, S. / Takahashi, D. / Hotta, Y. et al. | 2005
- 8 pp.
-
A parallel variable-mesh FDTD algorithm for the solution of large electromagnetic problemsCatarinucci, L. / Palazzari, P. / Tarricone, L. et al. | 2005
- 8 pp.
-
An empirical comparison of OTIS-mesh and OTIS-hypercube multicomputer systems under deterministic routingNajaf-abadi, H.H. / Sarbazi-azad, H. et al. | 2005
- 8 pp.
-
Parallelization of direct algorithms using multisplitting methods in grid environmentsBahi, J.M. / Couturier, R. et al. | 2005
- 8 pp.
-
Towards composable and extensible network simulationHung-Ying Tyan, / Sobeih, A. / Hou, J.C. et al. | 2005
- 8 pp.
-
Combining FT-MPI with H2O: fault-tolerant MPI across administrative boundariesKurzyniec, D. / Vaidy Sunderam, et al. | 2005
- 8 pp.
-
A practical packet reordering mechanism with flow granularity for parallelism exploiting in network processorsBeibei Wu, / Yang Xu, / Hongbin Lu, / Bin Liu, et al. | 2005
- 8 pp.
-
Adaptive resource management in peer-to-peer middlewareRepantis, T. / Drougas, Y. / Kalogeraki, V. et al. | 2005
- 8 pp.
-
Hardware based online profiling in AMIDAR processorsGatzka, S. / Hochberger, C. et al. | 2005
- 8 pp.
-
Configuration steering for a reconfigurable superscalar processorVeale, B.F. / Antonio, J.K. / Tull, M.P. et al. | 2005
- 8 pp.
-
Parallelization of the NAS Conjugate Gradient benchmark using the global arrays shared memory programming modelZhang, Y. / Tipparaju, V. / Nieplocha, J. / Hariri, S. et al. | 2005
- 8 pp.
-
High performance communication between parallel programsLee, J.-Y. / Sussman, A. et al. | 2005
- 8 pp.
-
Dynamic task scheduling using genetic algorithms for heterogeneous distributed computingPage, A.J. / Naughton, T.J. et al. | 2005
- 8 pp.
-
A sexual elitist genetic algorithm for providing QoS in distributed virtual environment systemsRueda, S. / Morillo, P. / Orduna, J.M. / Duato, J. et al. | 2005
- 8 pp.
-
Configuring the circuit switched tree for multiple width communicationsRoy, K. / Vaidyanathan, R. / Trahan, J.L. et al. | 2005
- 8 pp.
-
Analysis of design considerations for optimizing multi-channel MPI over InfiniBandChai, L. / Sur, S. / Jin, H.-W. / Panda, D.K. et al. | 2005
- 8 pp.
-
Energy-aware task scheduling: towards enabling mobile computing over MANETsAlsalih, W. / Akl, S. / Hassancin, H. et al. | 2005
- 8 pp.
-
Local leader election, signal strength aware flooding, and routeless routingChen, G. / Branch, J.W. / Szymanski, B.K. et al. | 2005
- 8 pp.
-
A spatial-based multi-resolution data dissemination scheme for wireless sensor networksChen, J. / Guan, Y. / Pooch, U. et al. | 2005
- 8 pp.
-
Modeling particle systems animations for heterogeneous clustersOliva, C.B. / De Rose, C.A.F. et al. | 2005
- 8 pp.
-
SCADA with fault tolerant CORBA on fault tolerant LANE ATMDavid Selvakumar, / Chester Rebeiro, / Pitchiah, R. et al. | 2005
- 8 pp.
-
A method of security measurement of the network data transmissionHanping Hu, / Wenxuan Guo, / Baoliang Zhang, / Xiang Chen, et al. | 2005
- 8 pp.
-
Preemptive behavior analysis and improvement of priority scheduling algorithmsXiaoying Wang, / Hai Zhao, / Wenbo Zhang, / Zhenyu Yin, et al. | 2005
- 8 pp.
-
A predictive, decentralized load balancing approachGu, D. / Yang, L. / Welch, L.R. et al. | 2005
- 8 pp.
-
Task reweighting on multiprocessors: efficiency versus accuracyBlock, A. / Anderson, J.H. et al. | 2005
- 8 pp.
-
Designing scalable FPGA-based reduction circuits using pipelined floating-point coresZhuo, L. / Morris, G.R. / Prasanna, V.K. et al. | 2005
- 8 pp.
-
A master-slave adaptive load-distribution processor model on PCAIto, T. / Kitamichi, J. / Kuroda, K. / Okuyama, Y. et al. | 2005
- 8 pp.
-
A cycle-accurate ISS for a dynamically reconfigurable processor architectureMucci, C. / Campi, F. / Deledda, A. / Fazzi, A. / Ferri, M. / Bocchi, M. et al. | 2005
- 8 pp.
-
PPerfGrid: a grid services-based tool for the exchange of heterogeneous parallel performance dataHoffman, J.J. / Byrd, A. / Mohror, K.M. / Karavanic, K.L. et al. | 2005
- 8 pp.
-
A novel multiobjective evolution strategy: design for adaptive balance between proximity and diversityYang Shu Min, / Ju Xing Xiang, et al. | 2005
- 8 pp.
-
Enhancing cluster application performance via smarter scheduling and stronger SOAPAndresen, D. et al. | 2005
- 8 pp.
-
PMAC: an adaptive energy-efficient MAC protocol for wireless sensor networksZheng, T. / Radhakrishnan, S. / Sarangan, V. et al. | 2005
- 8 pp.
-
Optimization of distributed implementation of grayscale electron-beam proximity effect correction on a temporally heterogeneous clusterLee, S.-Y. / Anupongpaibool, N. et al. | 2005
- 8 pp.
-
Benchmarking the CLI for I/O-intensive computingQin, X. / Xie, T. / Ahalya Nathan, / Tadepalli, V.K. et al. | 2005
- 8 pp.
-
Dynamic task scheduling with security awareness in real-time systemsXie, T. / Sung, A. / Qin, X. et al. | 2005
- 8 pp.
-
Destructive transaction: human-oriented cluster system management mechanismTaoying Liu, / Zhiwei Xu, / Ninghui Sun, / Meng, D. et al. | 2005
- 8 pp.
-
Hardware-software interaction: preliminary observationsSteiner, N. / Athanas, P. et al. | 2005
- 8 pp.
-
Hardware enhanced function allocation management in reconfigurable systemsUllmann, M. / Jin, W. / Becker, J. et al. | 2005
- 8 pp.
-
Battery-efficient task execution on reconfigurable computing platforms with multiple processing unitsKhan, J. / Vemuri, R. et al. | 2005
- 8 pp.
-
A category overlay infrastructure for peer-to-peer content searchLiu, X. / Wang, J. / Vuong, S.T. / Son T Vuong, et al. | 2005
- 8 pp.
-
Efficient reduction of HOL blocking in multistage networksNachiondo, T. / Flich, J. / Duato, J. et al. | 2005
- 8 pp.
-
Efficient direct user level sockets for an Intel/spl reg/ Xeon/spl trade/ processor based TCP on-load engineSaletore, V.A. / Stillwell, P.M. / Wiegert, J.A. / Cayton, P. / Gray, J. / Regnier, G.J. et al. | 2005
- 8 pp.
-
Efficient and safe execution of user-level code in the kernelZadok, E. / Callanan, S. / Abhishek Rai, / Gopalan Sivathanu, / Traeger, A. et al. | 2005
- 8 pp.
-
Simultaneous wire permutation, inversion, and spacing with genetic algorithm for energy-efficient bus designShanq-Jang Ruan, / Naroska, E. / Schwiegelshohn, U. et al. | 2005
- 8 pp.
-
CODACS prototype: a platform-processor for CHIARA programsVerdoscia, L. et al. | 2005
- 8 pp.
-
Predictive performance modelling of parallel component compositionZhao, L. / Jarvis, S.A. / Spooner, D.P. / Nudd, G.R. et al. | 2005
- 8 pp.
-
Extended Golomb rulers as the new recovery schemes in distributed dependable computingKlonowska, K. / Lundberg, L. / Lennerstad, H. / Svahnberg, C. et al. | 2005
- 8 pp.
-
Using leader-based communication to improve the scalability of single-round group membership algorithmsKhazan, R. / Yuditskaya, S. et al. | 2005
- 8 pp.
-
Adaptive distributed traffic control service for DDoS attack mitigationDubendorfer, T. / Bossardt, M. / Plattner, B. et al. | 2005
- 8 pp.
-
Current practice and a direction forward in checkpoint/restart implementations for fault toleranceSancho, J.C. / Petrini, F. / Davis, K. / Gioiosa, R. / Jiang, S. et al. | 2005
- 8 pp.
-
A maintenance-oriented fault model for the DECOS integrated diagnostic architecturePeti, P. / Obermaisser, R. / Ademaj, A. / Kopetz, H. et al. | 2005
- 8 pp.
-
M-task-programming for heterogeneous systems and grid environmentsRauber, T. / Runger, G. et al. | 2005
- 8 pp.
-
The globus extensible input/output system (XIO): a protocol independent IO system for the gridAllcock, W. / Bresnahan, J. / Kettimuthu, K. / Link, J. et al. | 2005
- 8 pp.
-
A novel QoS multicast model in mobile ad hoc networksGuojun Wang, / Jiannong Cao, / Lifan Zhang, / Chan, K.C.C. / Wu, J. et al. | 2005
- 8 pp.
-
A services oriented framework for next generation data analysis centersWang, H. / Ghoting, A. / Buehrer, G. / Tatikonda, S. / Parthasarathy, S. / Kurc, T. / Saltz, J. et al. | 2005
- 8 pp.
-
A compiler-enabled model- and measurement-driven adaptation environment for dependability and performanceAdve, V.S. / Agbaria, A. / Hiltunen, M.A. / Iyer, R.K. / Joshi, K.R. / Kalbarczyk, Z. / Lefever, R.M. / Plante, R. / Sanders, W.H. / Schlichting, R.D. et al. | 2005
- 8 pp.
-
Improvement of power-performance efficiency for high-end computingGe, R. / Feng, X. / Cameron, K.W. et al. | 2005
- 8 pp.
-
Microrouting: a scalable and robust communication paradigm for sparse ad hoc networksDas, S.M. / Pucha, H. / Hu, Y.C. et al. | 2005
- 8 pp.
-
Competitive time and traffic analysis of position-based routing using a cell structureRuhrup, S. / Schindelhauer, C. et al. | 2005
- 8 pp.
-
Combinatorial auction-based protocols for resource allocation in gridsAnubhav Das, / Grosu, D. et al. | 2005
- 8 pp.
-
High performance computing environments without the fuss: the Bootable Cluster CDDiesburg, S.M. / Gray, P.A. / Joiner, D. et al. | 2005
- 8 pp.
-
A multiple associative model to support branches in data parallel applications using the manager-worker paradigmChantamas, W. / Baker, J.W. et al. | 2005
- 8 pp.
-
Sparse flat neighborhood networks (SFNNs): scalable guaranteed pairwise bandwidth & unit latencyMattox, T.I. / Dietz, H.G. / Dieter, W.R. et al. | 2005
- 8 pp.
-
Analytical modelling of hot-spot traffic in deterministically-routed k-ary n-cubesLoucif, S. / Ould-Khaoua, M. / Min, G. et al. | 2005
- 8 pp.
-
The applicability of adaptive control theory to QoS design: limitations and solutionsWu, K. / Lilja, D.J. / Bai, H. et al. | 2005
- 8 pp.
-
MTPSec: customizable secure MTP3 tunnels in the SS7 networkSengar, H. / Wijesekera, D. / Jajodia, S. et al. | 2005
- 8 pp.
-
Using mobile communications to assert privacy from video surveillanceBrassil, J. et al. | 2005
- 8 pp.
-
Distributed scheduling of parallel I/O in the presence of data replicationJan-Jan Wu, / Pangfeng Liu, et al. | 2005
- 8 pp.
-
Code-size minimization in multiprocessor real-time systemsSanjoy Baruah, / Fisher, N. et al. | 2005
- 8 pp.
-
REPLICA: a bitstream manipulation filter for module relocation in partial reconfigurable systemsKalte, H. / Lee, G. / Porrmann, M. / Ruckert, U. et al. | 2005
- 8 pp.
-
A timed Petri net approach for pre-runtime scheduling in partial and dynamic reconfigurable systemsEskinazi, R. / Lima, M.E. / Maciel, P.R.M. / Valderrama, C.A. / Filho, A.G.S. / Nascimento, P.S.B. et al. | 2005
- 8 pp.
-
Grid environment for computational astrophysics driven by GRAPE-6 with HMCS-G and OmniRPCBoku, T. / Onuma, K. / Sato, M. / Nakajima, Y. / Takahashi, D. et al. | 2005
- 8 pp.
-
Automated analysis of memory access behaviorGerndt, M. / Li, T. et al. | 2005
- 8 pp.
-
Routing via single-source and multiple-source queries in static sensor networksGasieniec, L. / Su, C. / Wong, P.W.H. / Xin, Q. et al. | 2005
- 8 pp.
-
A high-performance framework for Sun-to-Earth space weather modelingVolberg, O. / Toth, G. / Gombosi, T.I. / Stout, Q.F. / Powell, K.G. / De Zeeuw, D. / Ridley, A.J. / Kane, K. / Hansen, K.C. / Chesney, D.R. et al. | 2005
- 8 pp.
-
Quotient graphs for the analysis of asymmetric distributed systems: surveying two alternative approachesBellettini, C. / Capra, L. et al. | 2005
- 8 pp.
-
Aeneas: real-time performance evaluation approach for distributed programs with reliability-constrainsYunfa Li, / Hai Jin, / Zongfen Han, / Chao Xie, / Deqing Zou, / Hao Wu, et al. | 2005
- 8 pp.
-
Performance modeling of subnet management on fat tree InfiniBand networks using OpenSMAbhinav Vishnu, / Mamidala, A.R. / Jin, H.-W. / Panda, D.K. et al. | 2005
- 8 pp.
-
Compatibility between shared variable valuations in timed automaton network model-checkingZhao Jianhua, / Zhou Xiuyi, / Li Xuandong, / Zheng Guoliang, et al. | 2005
- 8 pp.
-
Production scheduling by reachability analysis - a case studyBehrmann, G. / Brinksma, E. / Hendriks, M. / Mader, A. et al. | 2005
- 8 pp.
-
Packet routing in dynamically changing networks on chipMajer, M. / Bobda, C. / Ahmadinia, A. / Teich, J. et al. | 2005
- 8 pp.
-
High level-application analysis techniques & architectures - to explore design possibilities for reduced reconfiguration area overheads in FPGAs executing compute intensive applicationsDasu Aravind, / Arvind Sudarsanam, et al. | 2005
- 8 pp.
-
Job allocation schemes in computational grids based on cost optimizationPenmatsa, S. / Chronopoulos, A.T. et al. | 2005
- 8 pp.
-
Flexible particle swarm optimization tasks for reconfigurable processor arraysJanson, S. / Middendorf, M. et al. | 2005
- 8 pp.
-
An efficient program for phylogenetic inference using simulated annealingStamatakis, A. et al. | 2005
- 8 pp.
-
High-performance direct pairwise comparison of large genomic sequencesMueller, C. / Dalkilic, M. / Lumsdaine, A. et al. | 2005
- 8 pp.
-
An efficient R-Mesh implementation of LDPC codes message-passing decoderBabvey, S. / Bourgeois, A.G. / Fernandez-Zepeda, J.A. / McLaughlin, S.W. et al. | 2005
- 8 pp.
-
BMI: a network abstraction layer for parallel I/OCarns, P. / Ligon, W. / Ross, R. / Wyckoff, P. et al. | 2005
- 8 pp.
-
Power and performance in I/O for scientific applicationsColoma, K. / Choudhary, A. / Ching, A. / Liao, W.K. / Son, S.W. / Kandemir, M. / Ward, L. et al. | 2005
- 8 pp.
-
Scheduling processor voltage and frequency in server and cluster systemsKotla, R. / Ghiasi, S. / Keller, T. / Rawson, F. et al. | 2005
- 8 pp.
-
Optimal channel assignments for lattices with conditions at distance twoGriggs, J.R. / Jin, X.T. et al. | 2005
- 8 pp.
-
Provider-based deterministic packet marking against distributed DoS attacksSiris, V.A. / Stavrakis, I. et al. | 2005
- 8 pp.
-
A framework focus on configuration modeling and integration with transparent persistenceDiaz, I. / Tourino, J. / Salceda, J. / Doallo, R. et al. | 2005
- 8 pp.
-
A fixed-structure learning automaton solution to the stochastic static mapping problemHorn, G. / Oommen, B.J. et al. | 2005
- 8 pp.
-
On the scalability of centralized controlFeitelson, D.G. et al. | 2005
- 8 pp.
-
A fibre-optic AWG-based real-time network and its applicability to high-performance embedded computingBohm, A. / Jonsson, M. / Kunert, K. / Hoang, H. et al. | 2005
- 8 pp.
-
A quality-of-service architecture for future grid computing applicationsBurchard, L.-O. / Linnert, B. / Heine, F. / Hovestadt, M. / Kao, O. / Keller, A. et al. | 2005
- 8 pp.
-
Stable allocations in distributed real-time systems with multiple environmental parameters and replicable applicationsZhao, H. / Gu, D. / Welch, L. / Drews, F. / Juedes, D. et al. | 2005
- 8 pp.
-
FPGA implementation of a lattice quantum chromodynamics algorithm using logarithmic arithmeticCallanan, O. / Nisbet, A. / Ozer, E. / Sexton, J. / Gregg, D. et al. | 2005
- 8 pp.
-
Bandwidth management with a reconfigurable data cachePradeep Nalabalapu, / Sass, R. et al. | 2005
- 8 pp.
-
MOCCA - towards a distributed CCA framework for metacomputingMalawski, M. / Kurzyniec, D. / Sunderam, V. et al. | 2005
- 8 pp.
-
GRAPE - an industrial distributed system for computer visionMinor, D. / Rippa, S. et al. | 2005
- 8 pp.
-
Effective and efficient communication in grid computing with an extension of proactive groupsBaduel, L. / Baude, F. / Ranaldo, N. / Zimeo, E. et al. | 2005
- 8 pp.
-
Some issues in solving the anomaly detection problem using immunological approachSeredynski, F. / Bouvry, P. et al. | 2005
- 8 pp.
-
A cellular multi-objective genetic algorithm for optimal broadcasting strategy in metropolitan MANETsAlba, E. / Dorronsoro, B. / Luna, F. / Bouvry, P. et al. | 2005
- 8 pp.
-
Comparison of current BLAST software on nucleotide sequencesCha, I.E. / Rouchka, E.C. et al. | 2005
- 8 pp.
-
Scheduling of MPI-2 one sided operations over InfiniBandHuang, W. / Gopalakrishnan Santhanaraman, / Jin, H.-W. / Panda, D.K. et al. | 2005
- 8 pp.
-
Using phase behavior in scientific application to guide Linux operating system customizationKrintz, C. / Wolski, R. et al. | 2005
- 8 pp.
-
NetSolve/D: a massively parallel grid execution system for scalable data intensive collaborationBeck, M. / Dongarra, J. / Plank, J.S. et al. | 2005
- 8 pp.
-
Predicting cache space contention in utility computing serversSolihin, Y. / Guo, F. / Kim, S. et al. | 2005
- 8 pp.
-
A robust interference model for wireless ad-hoc networksvon Rickenbach, P. / Schmid, S. / Wattenhofer, R. / Zollinger, A. et al. | 2005
- 8 pp.
-
A Byzantine resilient multi-path key establishment scheme and its robustness analysis for sensor networksHuang, D. / Medhi, D. et al. | 2005
- 8 pp.
-
Memory in processor-supercomputer on a chip: processor design and execution semantics for massive single-chip performanceVenkateswaran, N. / Arrvindh Shriraman, / Niranjan Soundararajan, et al. | 2005
- 8 pp.
-
TiNy threads: a thread virtual machine for the Cyclops64 cellular architecturedel Cuvillo, J. / Zhu, W. / Hu, Z. / Gao, G.R. et al. | 2005
- 8 pp.
-
Extracting speedup from C-code with poor instruction-level parallelismKusic, D. / Hoare, R. / Jones, A.K. / Fazekas, J. / Foster, J. et al. | 2005
- 8 pp.
-
Optimization of a tandem M/GI/1 router network with batch arrivalsGulpinar, N. / Harrison, P. / Rustem, B. / Pau, L.-F. et al. | 2005
- 8 pp.
-
Fast scalable file distribution over InfinibandDalessandro, D. / Wyckoff, P. et al. | 2005
- 8 pp.
-
Virtual gateways in the DECOS integrated architectureObermaisser, R. / Peti, P. / Kopetz, H. et al. | 2005
- 8 pp.
-
Continuous consistency management in distributed real-time databases with multiple writers of replicated dataGustavsson, S. / Andler, S.R. et al. | 2005
- 8 pp.
-
Design and implementation of configurable W-CDMA rake receiver architectures on FPGAChugh, M. / Bhatia, D. / Balsara, P.T. et al. | 2005
- 8 pp.
-
Optimization of reconfiguration overhead by algorithmic transformations and hardware matchingRullmann, M. / Siegel, S. / Merker, R. et al. | 2005
- 8 pp.
-
Secure distributed computing on a Java Card/spl trade/ gridChaumette, S. / Grange, P. / Karray, A. / Sauveron, D. / Vigneras, P. et al. | 2005
- 8 pp.
-
A hybrid artificial immune and mobile agent intrusion detection based model for computer network operationsMachado, R.B. / Boukerche, A. / Sobral, J.B.M. / Juca, K.R.L. / Notare, M.S.M.A. et al. | 2005
- 8 pp.
-
ESTmapper: efficiently aligning DNA sequences to genomesWu, X. / Lee W-J, / Tseng, C.-W. et al. | 2005
- 8 pp.
-
On counting fixed point configurations in star networksTosic, P.T. et al. | 2005
- 8 pp.
-
Reducing power with performance constraints for parallel sparse applicationsChen, G. / Malkowski, K. / Kandemir, M. / Raghavan, P. et al. | 2005
- 8 pp.
-
Using performance counters for runtime temperature sensing in high-performance processorsLee, K.-J. / Skadron, K. et al. | 2005
- 8 pp.
-
Prolonging the lifetime of wireless sensor networks via unequal clusteringSoro, S. / Heinzelman, W.B. et al. | 2005
- 8 pp.
-
Performance portability on EARTH: a case study across several parallel architecturesWeirong Zhu, / Yanwei Niu, / Gao, G.R. et al. | 2005
- 8 pp.
-
Synthetic simulation of mesh-based parallel applications driven by fine-grained profilingLiu, Q. / Deshmukh, A.S. / Tomko, K.A. et al. | 2005
- 8 pp.
-
QQ: nanoscale timing and profilingFrye, J. / King, J.G. / Wilson, C.J. / Harris, F.C. et al. | 2005
- 8 pp.
-
Performance analysis of MPI collective operationsPjesivac-Grbovic, J. / Angskun, T. / Bosilca, G. / Fagg, G.E. / Gabriel, E. / Dongarra, J.J. et al. | 2005
- 8 pp.
-
A cheap and safe COTS wormhole for local area networksBrito, A. / Brasileiro, F. et al. | 2005
- 8 pp.
-
Speculative security checks in sandboxing systemsOyama, Y. / Onoue, K. / Yonezawa, A. et al. | 2005
- 8 pp.
-
Performance implications of periodic checkpointing on large-scale cluster systemsOliner, A.J. / Sahoo, R.K. / Moreira, J.E. / Gupta, M. et al. | 2005
- 8 pp.
-
Adaptive mesh refinement in TitaniumWen, T. / Colella, P. et al. | 2005
- 8 pp.
-
Over-approximate model of multitasking application based on timed automata using only one clockWaszniowski, L. / Hanzalek, Z. et al. | 2005
- 8 pp.
-
The design of the OpenSTARS adaptive analyzer for real-time distributed systemsBryan, K. / Tiegeng Ren, / Jiangyin Zhang, / DiPippo, L. / Fay-Wolfe, V. et al. | 2005
- 8 pp.
-
End-to-end quality of service management for distributed real-time embedded applicationsManghwani, P. / Loyall, J. / Sharma, P. / Gillen, M. / Ye, J. et al. | 2005
- 8 pp.
-
MATLAB/Simulink based hardware/software co-simulation for designing using FPGA configured soft processorsOu, J. / Prasanna, V.K. et al. | 2005
- 8 pp.
-
Job scheduling for grid computing on metacomputersLi, K. et al. | 2005
- 8 pp.
-
A simulated annealing approach for mobile location managementTaheri, J. / Zomaya, A.Y. et al. | 2005
- 8 pp.
-
An antisocial strategy for scheduling mechanismsGarg, N. / Grosu, D. / Chaudhary, V. et al. | 2005
- 8 pp.
-
An address dependence model of computation for hierarchical memories with pipelined transferBilardi, G. / Ekanadham, K. / Pattnaik, P. et al. | 2005
- 8 pp.
-
Communication models for algorithm design in networked sensor systemsYu, Y. / Hong, B. / Prasanna, V.K. et al. | 2005
- 8 pp.
-
Models and modeling infrastructures for global computational platformsWolski, R. / Nurmi, D. / Brevik, J. / Casanova, H. / Chien, A. et al. | 2005
- 8 pp.
-
Maximizing the lifetime of dominating setsMoscibroda, T. / Wattenhofer, R. et al. | 2005
- 8 pp.
-
Experimental analysis of practically efficient algorithms for bounded-hop accumulation in ad-hoc wireless networksClementi, A.E.F. / Di Ianni, M. / Monti, A. / Rossi, G. / Silvestri, R. et al. | 2005
- 8 pp.
-
Effective bandwidth-based QoS provisioning for real-time audio/video streaming over MIMO-OFDM wireless networksTang, J. / Zhang, X. et al. | 2005
- 8 pp.
-
Simulation of vector nonlinear time series models on clustersBanicescu, I. / Carino, R.L. / Harvill, J.L. / Lestrade, J.P. et al. | 2005
- 8 pp.
-
Irregular buffer-zone partitioning reducing synchronization cost in SAMRSteensland, J. et al. | 2005
- 8 pp.
-
A performance comparison of tree and ring topologies in distributed systemsHuang, M. / Bode, B. et al. | 2005
- 8 pp.
-
Wormhole attacks detection in wireless ad hoc networks: a statistical analysis approachSong, N. / Qian, L. / Li, X. et al. | 2005
- 8 pp.
-
Certified e-mail delivery with DSA receiptsNenadic, A. / Zhang, N. / Shi, Q. / Goble, C. et al. | 2005
- 8 pp.
-
On the impact of IPsec on interactive communicationsKlaue, J. / Hess, A. et al. | 2005
- 8 pp.
-
DiSigncryption: an integration of agent-based signature delegation with distributed reputation management schemeBamasak, O. / Zhang, N. / Edwards, D. et al. | 2005
- 8 pp.
-
RETINA: real-time network analyzerZhu, H.F. / Hansen, J.P. / Lehoczky, J.P. / Rajkumar, R. et al. | 2005
- 8 pp.
-
An energy-efficient reconfigurable circuit-switched network-on-chipWolkotte, P.T. / Smit, G.J.M. / Rauwerda, G.K. / Smit, L.T. et al. | 2005
- 8 pp.
-
Application of binary translation to Java reconfigurable architecturesBeck, A.C.S. / Carro, L. et al. | 2005
- 8 pp.
-
A framework for partitioning computational intensive applications in hybrid reconfigurable platformsGalanis, M.D. / Milidonis, A. / Theodoridis, G. / Soudris, D. / Goutis, C.E. et al. | 2005
- 8 pp.
-
WSPeer - an interface to Web service hosting and invocationHarrison, A. / Taylor, I.J. et al. | 2005
- 8 pp.
-
A case study on pattern-based systems for high performance computational biologyLiu, W. / Schmidt, B. et al. | 2005
- 8 pp.
-
BioFilter: an architecture for parallel deployment and dynamic chaining of standalone bioinformatics toolsBrettin, T. / Avinash Kewalramani, et al. | 2005
- 8 pp.
-
Reconfigurable object consistency modelPousa, C.V. / Goes, L.F.W. / Martins, C.A.P.S. et al. | 2005
- 8 pp.
-
A data-re-distribution library for multi-processor task programmingRauber, T. / Runger, G. et al. | 2005
- 8 pp.
-
Connection-less TCPGilfeather, P. / Maccabe, A.B. et al. | 2005
- 8 pp.
-
Message passing for Linux clusters with gigabit Ethernet mesh connectionsChen, J. / Watson, W. / Edwards, R. / Mao, W. et al. | 2005
- 8 pp.
-
EMPS: an environment for memory performance studiesHollingsworth, J.K. / Snavely, A. / Sbaraglia, S. / Ekanadham, K. et al. | 2005
- 8 pp.
-
Parallel strategies for local biological sequence alignment in a cluster of workstationsBoukerche, A. / de Melo, A.C.M.A. / Ayala-Rincon, M. et al. | 2005
- 8 pp.
-
Benchmark measurements of current UPC platformsZhang, Z. / Seidel, S. et al. | 2005
- 8 pp.
-
The SDVM - an approach for future adaptive computer clustersHaase, J. / Eschmann, F. / Waldschmidt, K. et al. | 2005
- 8 pp.
-
Fault-tolerant parallel applications with dynamic parallel schedulesGerlach, S. / Hersch, R.D. et al. | 2005
- 8 pp.
-
Fault-tolerant beacon vector routing for mobile ad hoc networksDemoracski, L. et al. | 2005
- 8 pp.
-
Tabu marking scheme for IP tracebackMa, M. et al. | 2005
- 8 pp.
-
A fast fuzzy-based (/spl Omega/, /spl alpha/)-fair rate allocation algorithmGoudarzi, P. / Sheikholeslam, F. et al. | 2005
- 8 pp.
-
User transparent parallel processing of the 2004 NIST TRECVID data setSeinstra, F.J. / Snoek, C.G.M. / Koelma, D. / Geusebroek, J.M. / Worring, M. et al. | 2005
- 8 pp.
-
Quick reconfiguration in clustered micro-sequencerJafari, R. / Memik, S.O. / Sarrafzadeh, M. et al. | 2005
- 8 pp.
-
Evaluation of the hybrid multithreading programming model using image processing transformsJidin, R. / Andrews, D. / Peck, W. / Chirpich, D. / Stout, K. / Gauch, J. et al. | 2005
- 8 pp.
-
Using generative design patterns to develop network server applicationsGuo, Z. / Schaeffer, J. / Szafron, D. / Earl, P. et al. | 2005
- 8 pp.
-
RC-BLAST: towards a portable, cost-effective open source hardware implementationMuriki, K. / Underwood, K.D. / Sass, R. et al. | 2005
- 8 pp.
-
An architecture for congestion management in Ethernet clustersMcAlpine, G. / Manoj Wadekar, / Tanmay Gupta, / Crouch, A. / Newell, D. et al. | 2005
- 8 pp.
-
Toward an evaluation infrastructure for power and energy optimizationsHu, C. / Jimenez, D.A. / Kremer, U. et al. | 2005
- 8 pp.
-
Face traversal routing on edge dynamic graphsGuan, X. et al. | 2005
- 8 pp.
-
Distributed storage layout schemesSobe, P. et al. | 2005
- 8 pp.
-
Defending distributed systems against malicious intrusions and network anomaliesHwang, K. / Chen, Y. / Liu, H. et al. | 2005
- 8 pp.
-
A coordinated spatio-temporal access control model for mobile computing in coalition environmentsFu, S. / Xu, C.-Z. et al. | 2005
- 8 pp.
-
Strict priority versus weighted fair queueing in switched Ethernet networks for time critical applicationsGeorges, J.-P. / Divoux, T. / Rondeau, E. et al. | 2005
- 8 pp.
-
Automatic task scheduling/loop unrolling using dedicated RTR controllers in coarse grain reconfigurable architecturesBenoit, P. / Torres, L. / Sassatelli, G. / Robert, M. / Cambon, G. et al. | 2005
- 8 pp.
-
Accelerating scientific applications with the SRC-6 reconfigurable computer: methodologies and analysisSmith, M.C. / Vetter, J.S. / Liang, X. et al. | 2005
- 8 pp.
-
Design and implementation of an efficient stack machineSchoeberl, M. et al. | 2005
- 8 pp.
-
An evolutionary approach for a topologic constrained routing problemPerez, J.F.L. et al. | 2005
- 8 pp.
-
Predictor@Home: a "protein structure prediction supercomputer" based on public-resource computingTaufer, M. / An, C. / Kerstens, A. / Brooks, C.L. et al. | 2005
- 8 pp.
-
Enhancing NIC performance for MPI using processing-in-memoryRodrigues, A. / Murphy, R. / Brightwell, R. / Underwood, K.D. et al. | 2005
- 8 pp.
-
A cross-layer approach for power-performance optimization in distributed mobile systemsShivajit Mohapatra, / Cornea, R. / Oh, H. / Lee, K. / Kim, M. / Nikil Dutt, / Rajesh Gupta, / Nicolau, A. / Sandeep Shukla, / Nalini Venkatasubramanian, et al. | 2005
- 8 pp.
-
Facilitating distributed time-triggered simulation of embedded systems and environmentsKim, K.H. / Jenks, S.F. et al. | 2005
- 8 pp.
-
Towards efficient supercomputing: a quest for the right metricHsu, C.-H. / Feng, W.-C. / Archuleta, J.S. et al. | 2005
- 8 pp.
-
Partial clustering: maintaining connectivity in a low duty-cycled dense wireless sensor networkHsin, C. / Liu, M. et al. | 2005
- 8 pp.
-
The effect of virtual channel organization on the performance of interconnection networksRezazad, M. / Sarbazi-azad, H. et al. | 2005
- 8 pp.
-
Technology-based architectural analysis of operand bypass networks for efficient operand transportKim, H. / Wills, D.S. / Wills, L.M. et al. | 2005
- 8 pp.
-
Efficient evaluation of alternatives for assembly of servicesBarthwal, N. / Woodside, M. et al. | 2005
- 8 pp.
-
Parallel polynomial root extraction on a ring of processorsSarbazi-Azad, H. et al. | 2005
- 8 pp.
-
A network access control approach based on the AAA architecture and authorization attributesLopez, G. / Gomez, A.F. / Marin, R. / Canovas, O. et al. | 2005
- 8 pp.
-
Secure and efficient key management in mobile ad hoc networksWu, B. / Wu, J. / Fernandez, E.B. / Magliveras, S. et al. | 2005
- 8 pp.
-
Monitoring and debugging parallel software with BCS-MPI on large-scale clustersFernandez, J. / Petrini, F. / Frachtenberg, E. et al. | 2005
- 9 pp.
-
Overview of air traffic control using an SIMD COTS systemReddaway, S. / Meilander, W. / Baker, J. / Kidman, J. et al. | 2005
- 9 pp.
-
On scheduling complex dags for Internet-based computingMalewicz, G. / Rosenberg, A.L. / Yurkewych, M. et al. | 2005
- 9 pp.
-
Exploring the energy-time tradeoff in high-performance computingPan, F. / Freeh, V.W. / Smith, D.M. et al. | 2005
- 9 pp.
-
Exploiting WSRF and WSRF.NET for remote job execution in grid environmentsWasson, G. / Humphrey, M. et al. | 2005
- 9 pp.
-
Adaptive simulation: dynamic data driven application in geophysical mass flowsJones, M.D. / Patra, A.K. / Dalbey, K. / Pitman, E.B. / Bauer, A.C. et al. | 2005
- 9 pp.
-
Impact of compiler-based data-prefetching techniques on SPEC OMP application performanceTian, X. / Krishnaiyer, R. / Saito, H. / Girkar, M. / Li, W. et al. | 2005
- 9 pp.
-
Measuring scalability of resource management systemsMitra, A. / Maheswaran, M. / Ali, S. et al. | 2005
- 9 pp.
-
An experimental study of parallel biconnected components algorithms on symmetric multiprocessors (SMPs)Cong, G. / Bader, D.A. et al. | 2005
- 9 pp.
-
A measure of robustness against multiple kinds of perturbationsEslamnour, B. / Ali, S. et al. | 2005
- 9 pp.
-
Homogeneous redundancy: a technique to ensure integrity of molecular simulation results using public computingTaufer, M. / Anderson, D. / Cicotti, P. / Brooks, C.L. et al. | 2005
- 9 pp.
-
Parallel hybrid multi-objective island model in peer-to-peer environmentMelab, N. / Mezmaz, M. / Talbi, E.-G. et al. | 2005
- 9 pp.
-
Optimizing NANOS OpenMP for the IBM Cyclops multithreaded architectureRodenas, D. / Martorell, X. / Almasi, G. / Cascaval, C. et al. | 2005
- 10 pp.
-
Fast all nearest neighbor algorithms from image processing perspectiveYuh-Rau Wang, / Shi-Jinn Horng, / Hung-Chang Chan, et al. | 2005
- 10 pp.
-
Fast and scalable parallel matrix computations on distributed memory systemsLi, K. et al. | 2005
- 10 pp.
-
SPHINX: a fault-tolerant system for scheduling in dynamic grid environmentsIn, J. / Avery, P. / Cavanaugh, R. / Chitnis, L. / Kulkarni, M. / Ranka, S. et al. | 2005
- 10 pp.
-
Inherently workload-balanced clustered microarchitectureAbella, J. / Gonzalez, A. et al. | 2005
- 10 pp.
-
Enhanced parallel processing in wide registersMitchell, J.L. / Hinds, A.T. et al. | 2005
- 10 pp.
-
Distributed data streams indexing using content-based routing paradigmBulut, A. / Singh, A.K. / Vitenberg, R. et al. | 2005
- 10 pp.
-
A hardware acceleration unit for MPI queue processingUnderwood, K.D. / Hemmert, K.S. / Rodrigues, A. / Murphy, R. / Brightwell, R. et al. | 2005
- 10 pp.
-
Off-line scheduling of divisible requests on an heterogeneous collection of databanksLegrand, A. / Su, A. / Vivien, F. et al. | 2005
- 10 pp.
-
Exploring the energy-time tradeoff in MPI programs on a power-scalable clusterFreeh, V.W. / Feng Pan, / Kappiah, N. / Lowenthal, D.K. / Springer, R. et al. | 2005
- 10 pp.
-
Software-directed disk power management for scientific applicationsSon, S.W. / Kandemir, M. / Choudhary, A. et al. | 2005
- 10 pp.
-
Fault-tolerance, malleability and migration for divide-and-conquer applications on the gridWrzesinska, G. / van Nieuwpoort, R.V. / Maassen, J. / Bal, H.E. et al. | 2005
- 10 pp.
-
A neural network based predictive mechanism for available bandwidthEswaradass, A. / Sun, X.-H. / Wu, M. et al. | 2005
- 10 pp.
-
Cache miss characterization and data locality optimization for imperfectly nested loops on shared memory multiprocessorsSahoo, S.K. / Panuganti, R. / Sadayappan, P. / Krishnamoorthy, P. et al. | 2005
- 10 pp.
-
Supporting load balancing and efficient reorganization during system scalingZhu, F. / Sun, X. / Salzberg, B. / Hvasshovd, S.-O. et al. | 2005
- 10 pp.
-
PROC: process reordering-based coscheduling on workstation clustersJung-Lok Yu, / Driss Azougagh, / Jin-Soo Kim, / Seung-Ryoul Maeng, et al. | 2005
- 10 pp.
-
Fast address translation techniques for distributed shared memory compilersCantonnet, F. / El-Ghazawi, T.A. / Lorenz, P. / Gaber, J. et al. | 2005
- 10 pp.
-
An empirical study on the vectorization of multimedia applications for multimedia extensionsRen, G. / Wu, P. / Padua, D. et al. | 2005
- 10 pp.
-
Switch design to enable predictive multiplexed switching in multiprocessor networksDing, Z. / Hoare, R. / Jones, A. / Li, D. / Shao, S. / Tung, S. / Zheng, J. / Melhem, R. et al. | 2005
- 10 pp.
-
Control-flow independence reuse via dynamic vectorizationPajuelo, A. / Gonzalez, A. / Valero, M. et al. | 2005
- 10 pp.
-
Provable algorithms for parallel sweep scheduling on unstructured meshesAnil Kumar, V.S. / Marathe, M.V. / Parthasarathy, S. / Srinivasan, A. / Zust, S. et al. | 2005
- 10 pp.
-
Parallelizing a defect detection and categorization applicationGlimcher, L. / Agrawal, G. / Mehta, S. / Rioming Jin, / Machiraju, R. et al. | 2005
- 10 pp.
-
Runtime empirical selection of loop schedulers on hyperthreaded SMPsZhang, Y. / Voss, M. / Rogers, E.S. et al. | 2005
- 10 pp.
-
A cost-effective main memory organization for future serversEkman, M. / Stenstrom, P. et al. | 2005
- 10 pp.
-
A realistic network/application model for scheduling divisible loads on large-scale platformsMarchal, L. / Yang, Y. / Casanova, H. / Robert, Y. et al. | 2005
- 10 pp.
-
GUARD: gossip used for autonomous resource detectionNandy, S. / Carter, L. / Ferrante, J. et al. | 2005
- 10 pp.
-
Modeling and taming parallel TCP on the wide area networkDong Lu, / Yi Qiao, / Dinda, P.A. / Bustamante, F.E. et al. | 2005
- 10 pp.
-
A mutual anonymous peer-to-peer protocol designJinsong Han, / Yunhao Liu, / Xiao, L. / Renyi Xiao, / Ni, L.M. et al. | 2005
- 10 pp.
-
Enhancing availability of grid computational services to ubiquitous computing applicationsRoy, N. / Das, S.K. / Basu, K. / Kumar, K. et al. | 2005
- 10 pp.
-
Impact of event logger on causal message logging protocols for fault tolerant MPIBouteiller, A. / Collin, B. / Herault, T. / Lemarinier, P. / Cappello, F. et al. | 2005
- 10 pp.
-
Practical divisible load scheduling on grid platforms with APST-DVvan der Raadt, K. / Yang, Y. / Casanova, H. et al. | 2005
- 10 pp.
-
Scheduling algorithms for effective thread pairing on hybrid multiprocessorsMcGregor, R.L. / Antonopoulos, C.D. / Nikolopoulos, D.S. et al. | 2005
- 10 pp.
-
Comparing the performance of high-level middleware systems in shared and distributed memory parallel environmentsKim, J.-S. / Andrade, H. / Sussman, A. et al. | 2005
- 10 pp.
-
Power and energy profiling of scientific applications on distributed systemsXixhou Feng, / Rong Ge, / Cameron, K.W. et al. | 2005
- 10 pp.
-
Fault-Hamiltonicity of hypercube-like interconnection networksJung-Heum Park, / Hee-Chul Kim, / Hyeong-Seok Lim, et al. | 2005
- 10 pp.
-
Tight bounds for wavelength assignment on trees of ringsBian, Z. / Gu, Q.-P. / Zhou, X. et al. | 2005
- 10 pp.
-
Improving and stabilizing parallel computer performance using adaptive backfillingTalby, D. / Feitelson, D.G. et al. | 2005
- 10 pp.
-
QoS aware job scheduling in a cluster-based Web server for multimedia applicationsGuo, J. / Laxmi Bhuyan, / Raj Kumar, / Sujoy Basu, et al. | 2005
- 10 pp.
-
Characterizing secure dynamic Web applications scalabilityGuitart, J. / Beltran, V. / Carrera, D. / Torres, J. / Ayguade, E. et al. | 2005
- 10 pp.
-
Dynamic power-aware scheduling algorithms for real-time task sets with fault-tolerance in parallel and distributed computing environmentJian-Jun Han, / Qing-Hua Li, et al. | 2005
- 10 pp.
-
Functionality distribution for parallel renderingRajagopalan, R. / Goswami, D. / Mudur, S.P. et al. | 2005
- 10 pp.
-
A load balancing method for a parallel application based on a domain decompositionDenis, C. / Boufflet, J.-P. / Breitkopf, P. et al. | 2005
- 10 pp.
-
Practical performance model for optimizing dynamic load balancing of adaptive applicationsBarker, K. / Chrisochoides, N. et al. | 2005
- 10 pp.
-
An efficient topology-adaptive membership protocol for large-scale cluster-based servicesZhou, J. / Chu, L. / Yang, T. et al. | 2005
- 10 pp.
-
Dynamic mapping in energy constrained heterogeneous computing systemsKim, J.-K. / Siegel, H.J. / Maciejewski, A.A. / Eigenmann, R. et al. | 2005
- 10 pp.
-
GridIS: an incentive-based grid schedulingLijuan Xiao, / Yanmin Zhu, / Ni, L.M. / Xu, Z. et al. | 2005
- 10 pp.
-
Adaptive resource utilization via feedback control for streaming applicationsMandviwala, H.A. / Harel, N. / Ramachandran, U. / Knobe, K. et al. | 2005
- 10 pp.
-
Improving middleware performance with AdOC: an adaptive online compression library for data transferJeannot, E. et al. | 2005
- 10 pp.
-
Message scheduling for all-to-all personalized communication on ethernet switched clustersFaraj, A. / Yuan, X. et al. | 2005
- 10 pp.
-
Self-managing sensor-based middleware for performance monitoring and data integration in gridsTruong, H.-L. / Fahringer, T. et al. | 2005
- 10 pp.
-
A study of various load information exchange mechanisms for a distributed application using dynamic schedulingGuermouche, A. / L'Excellent, J.-Y. et al. | 2005
- 10 pp.
-
Coordinated media streaming and transcoding in peer-to-peer systemsChen, F. / Repantis, T. / Kalogeraki, V. et al. | 2005
- 10 pp.
-
Design and implementation of open MPI over Quadrics/Elan4Yu, W. / Woodall, T.S. / Graham, R.L. / Panda, D.K. et al. | 2005
- 10 pp.
-
An application analysis framework for polymorphic chip multiprocessorsThomas, A. / Olukotun, K. et al. | 2005
- 10 pp.
-
Overhead analysis of a dynamic load balancing library for cluster computingBanicescu, I. / Carino, R.L. / Pabico, J.P. / Balasubramaniam, M. et al. | 2005
- 10 pp.
-
COMMIT: a sender-centric truthful and energy-efficient routing protocol for ad hoc networks with selfish nodesEidenbenz, S. / Resta, G. / Santi, P. et al. | 2005
- 10 pp.
-
Prioritized multiplicative Schwarz procedures for solving linear systemsWingate, D. / Powell, N. / Snell, Q. / Seppi, K. et al. | 2005
- 10 pp.
-
PDM sorting algorithms that take a small number of passesRajasekaran, S. / Sen, S. et al. | 2005
- 10 pp.
-
On the optimal placement of secure data objects over InternetManghui Tu, / Peng Li, / Qingkai Ma, / I-Ling Yen, / Bastani, F.B. et al. | 2005
- 10 pp.
-
Automatic support for irregular computations in a high-level languageSu, J. / Yelick, K. et al. | 2005
- 10 pp.
-
Efficient data access for parallel BLASTHeshan Lin, / Xiaosong Ma, / Chandramohan, P. / Geist, A. / Samatova, N. et al. | 2005
- 10 pp.
-
On constructing k-connected k-dominating set in wireless networksFei Dai, / Jie Wu, et al. | 2005
- 10 pp.
-
Siamese-twin: a dynamically fault-tolerant fat-treeSem-Jacobsen, F.O. / Skeie, T. / Lysne, O. / Toerudbakken, O. / Rongved, E. / Johnsen, B. et al. | 2005
- 10 pp.
-
A parallel algorithm for correlating event streamsZimmerman, D.M. / Chandy, K.M. et al. | 2005
- 10 pp.
-
Application development on the SRC Computers, Inc. systemsPoznanovic, D.S. et al. | 2005
- 10 pp.
-
A powerful direct mechanism for optimal WWW content replicationKhan, S.U. / Ahmad, I. et al. | 2005
- 10 pp.
-
Automatic construction and evaluation of performance skeletonsSodhi, S. / Subhlok, J. et al. | 2005
- 10 pp.
-
Desynchronized Pfair scheduling on multiprocessorsDevi, U.C. / Anderson, J.H. et al. | 2005
- 10 pp.
-
Security enhancement in InfiniBand architectureManhee Lee, / Eun Jung Kim, / Yousif, M. et al. | 2005
- 10 pp.
-
Out-of-core and pipeline techniques for wavefront algorithmsCaron, E. / Desprez, F. / Suter, F. et al. | 2005
- 10 pp.
-
A distributed Chained Lin-Kernighan algorithm for TSP problemsFischer, T. / Merz, P. et al. | 2005
- 10 pp.
-
Asynchronous complete distributed garbage collectionVeiga, L. / Ferreira, P. et al. | 2005
- 10 pp.
-
PReCinCt: a scheme for cooperative caching in mobile peer-to-peer systemsShen, H. / Joseph, M.S. / Kumar, M. / Das, S.K. et al. | 2005
- 10 pp.
-
Rapidly mixing random walks on hypercubes with application to dynamic tree evolutionLi, K. et al. | 2005
- 10 pp.
-
Security-driven heuristics and a fast genetic algorithm for trusted grid job schedulingSong, S. / Kwok, Yu.-K. / Hwang, K. et al. | 2005
- 10 pp.
-
Efficiently processing query-intensive databases over a non-dedicated local networkFurtado, P. et al. | 2005
- 10 pp.
-
Energy efficient multi-hop polling in clusters of two-layered heterogeneous sensor networksZhang, Z. / Ma, M. / Yang, Y. et al. | 2005
- 10 pp.
-
ExchangeGuard: a distributed protocol for electronic fair-exchangeSrivatsa, M. / Li Xiong, / Ling Liu, et al. | 2005
- 10 pp.
-
NUMA-aware Java heaps for server applicationsTikir, M.M. / Hollingsworth, J.K. et al. | 2005
- 10 pp.
-
Exploiting barriers to optimize power consumption of CMPsLiu, C. / Sivasubramaniam, A. / Kandemir, M. / Irwin, M.J. et al. | 2005
- 10 pp.
-
Effective instruction prefetching via fetch prestagingFalcon, A. / Ramirez, A. / Valero, M. et al. | 2005
- 10 pp.
-
A compiler and runtime infrastructure for automatic program distributionDiaconescu, R.E. / Lei Wang, / Mouri, Z. / Chu, M. et al. | 2005
- 10 pp.
-
Bootstrapping free-space optical networksFang Liu, / Vishkin, U. / Milner, S. et al. | 2005
- 10 pp.
-
Benefit of limited time sharing in the presence of very large parallel jobsSu-Hui Chiang, / Chuyong Fu, et al. | 2005
- 10 pp.
-
A framework to support survivable Web servicesLi, W. / He, J. / Ma, Q. / Yen, I.-L. / Bastani, F. / Paul, R. et al. | 2005
- 10 pp.
-
A dependency chain clustered micro architectureNarayanasamy, S. / Hong Wang, / Wang, P. / Shen, J. / Calder, B. et al. | 2005
- 10 pp.
-
Self-adaptive scheduler parameterization via online simulationLawson, B. / Smirni, E. et al. | 2005
- 10 pp.
-
Making search efficient on Gnutella-like P2P systemsYingwu ZHu, / Xiaoyu Yang, / Yiming Hu, et al. | 2005
- 10 pp.
-
Dynamic RWA based on the combination of mobile agents technique and genetic algorithm in WDM networks with sparse wavelength conversionLe, V.T. / Jiang, X. / Ngo, S.H. / Horiguchi, S. et al. | 2005
- 10 pp.
-
Contiguous search in the hypercube for capturing an intruderFlocchini, P. / Huang, M.J. / Luccio, F.L. et al. | 2005
- 10 pp.
-
MaTCH: mapping data-parallel tasks on a heterogeneous computing platform using the cross-entropy heuristicSanyal, S. / Das, S.K. et al. | 2005
- 10 pp.
-
COTS clusters vs. the Earth simulator: an application study using IMPACT-3DChavarria-Miranda, D. / Guohua Jin, / Mellor-Crummey, J. et al. | 2005
- 10 pp.
-
Increasing the performance of CDNs using replication and caching: a hybrid approachBakiras, S. / Loukopoulos, T. et al. | 2005
- 10 pp.
-
Securely replicated Web documentsPopescu, B.C. / van Steen, M. / Crispo, B. / Tanenbaum, A.S. / Sacha, J. / Kuz, I. et al. | 2005
- 10 pp.
-
Using message-driven objects to mask latency in grid computing applicationsKoenig, G.A. / Kale, L.V. et al. | 2005
- 10 pp.
-
Power saving in regular interconnection networks built with high-degree switchesAlonso, M. / Martinez, J.-M. / Santonja, V. / Lopez, P. / Duato, J. et al. | 2005
- 10 pp.
-
Wait-free reference counting and memory managementSundell, H. et al. | 2005
- 10 pp.
-
Performance implications of virtualization and hyper-threading on high energy physics applications in a grid environmentGilbert, L. / Tseng, J. / Newman, R. / Iqbal, S. / Pepper, R. / Celebioglu, O. / Jenwei Hsieh, / Cobban, M. et al. | 2005
- 10 pp.
-
A distributed procedure for bandwidth-centric scheduling of independent-task applicationsBanino, C. et al. | 2005
- 10 pp.
-
Building on a framework: using FG for more flexibility and improved performance in parallel programsDavidson, E.R. / Cormen, T.H. et al. | 2005
- 10 pp.
-
Evaluation of rate-based adaptivity in asynchronous data stream joinsPlale, B. / Vijayakumar, N. et al. | 2005
- 10 pp.
-
Broadcast trees for heterogeneous platformsBeaumont, O. / Marchal, L. / Robert, Y. et al. | 2005
- 10 pp.
-
Optimal oblivious path selection on the meshBusch, C. / Magdon-Ismail, M. / Xi, J. et al. | 2005
- 10 pp.
-
In-order packet delivery in interconnection networks using adaptive routingMartinez, J.C. / Flich, J. / Robles, A. / Lopez, P. / Duato, J. / Koibuchi, M. et al. | 2005
- 10 pp.
-
To unify structured and unstructured P2P systemsHonghao Wang, / Yingwu Zhu, / Yiming Hu, et al. | 2005
- 11 pp.
-
BondFlow: a system for distributed coordination of workflows over Web servicesJanaka Balasooriya, / Mohini Padhye, / Prasad, S.K. / Navathe, S.B. et al. | 2005
- 14 pp.
-
A memory-effective routing strategy for regular interconnection networksGomez, M.E. / Lopez, P. / Duato, J. et al. | 2005
- 14 pp.
-
Analyzing the behavior of parallel ant colony systems for large instances of the task scheduling problemAlba, E. / Leguizamon, G. / Ordonez, G. et al. | 2005
- 14 pp.
-
Data redistribution and remote method invocation in parallel component architecturesBertrand, F. / Bramley, R. / Bernholdt, D.E. / Kohl, J.A. / Sussman, A. / Larson, J.W. / Damevski, K.B. et al. | 2005
- 14 pp.
-
Processor allocation for tasks that is robust against errors in computation time estimatesSugavanam, P.V. / Siegel, H.J. / Maciejewski, A.A. / Ali, S.A. / Al-Otaibi, M. / Aydin, M. / Guru, K. / Horiuchi, A. / Krishnamurthy, Y.G. / Lee, P. et al. | 2005
- 15 pp.
-
LAD: localization anomaly detection for wireless sensor networksDu, W. / Fang, L. / Ningi, P. et al. | 2005
- 15 pp.
-
A stochastic approach to estimating earliest start times of nodes for scheduling DAGs on heterogeneous distributed computing systemsKamthe, A. / Lee, S.-Y. et al. | 2005
- 15 pp.
-
Event logging: portable and efficient checkpointing in heterogeneous environments with non-FIFO communication platformsPeng, Z. / Lastovetsky, A. et al. | 2005
- 15 pp.
-
Resource allocation for periodic applications in a shipboard environmentShestak, V. / Chong, E.K.P. / Maciejewski, A.A. / Siegel, H.J. / Benmohamed, L. / Wang, I.-J. / Daley, R. et al. | 2005
- 15 pp.
-
Fractal: a mobile code based framework for dynamic application protocol adaptation in pervasive computingLufei, H. / Shi, W. et al. | 2005
- 36
-
The Future of High-End Computing: Standing on One Another's Feet?Reed, D.A. et al. | 2005
- 113
-
Workshop Introduction| 2005
- 114
-
Message from the Heterogeneous Computing Workshop Steering Committee Chair| 2005
- 115
-
Message from the General Chair| 2005
- 116
-
Message from the Program Chair| 2005
- 117
-
Research Challenges That Arise From Heterogeneity| 2005
- 127
-
Workshop on Parallel and Distributed Real-Time Systems| 2005
- 301
-
Author index| 2005
- l
-
List of reviewers| 2005
- liii
-
IPDPS 2005 Technical Program| 2005
- v
-
Table of Contents| 2005
- xlii
-
Message from the General Co-Chairs| 2005
- xlv
-
Message from the Commercial Presentations and Exhibits Chair| 2005
- xlvi
-
Message from the Steering Co-Chairs| 2005
- xlvii
-
IPDPS 2005 Organization| 2005
-
Proceedings. 19th IEEE International Parallel and Distributed Processing Symposium| 2005