Comparison of (001), (110) and (111) uniaxial- and biaxial- strained-Ge and strained-Si PMOS DGFETs for all channel orientations: mobility enhancement, drive current, delay and off-state leakage (English)
- New search for: Krishnamohan, T.
- New search for: Kim, Donghyun
- New search for: Dinh, T.V.
- New search for: Pham, A.T.
- New search for: Meinerzhagen, B.
- New search for: Jungemann, C.
- New search for: Saraswat, K.
- New search for: Krishnamohan, T.
- New search for: Kim, Donghyun
- New search for: Dinh, T.V.
- New search for: Pham, A.T.
- New search for: Meinerzhagen, B.
- New search for: Jungemann, C.
- New search for: Saraswat, K.
In:
IEDM, IEEE International Electron Devices Meeting, 2008
;
1-4
;
2008
-
ISBN:
- Conference paper / Print
-
Title:Comparison of (001), (110) and (111) uniaxial- and biaxial- strained-Ge and strained-Si PMOS DGFETs for all channel orientations: mobility enhancement, drive current, delay and off-state leakage
-
Contributors:Krishnamohan, T. ( author ) / Kim, Donghyun ( author ) / Dinh, T.V. ( author ) / Pham, A.T. ( author ) / Meinerzhagen, B. ( author ) / Jungemann, C. ( author ) / Saraswat, K. ( author )
-
Published in:
-
Publisher:
- New search for: IEEE Operations Center
-
Place of publication:Piscataway
-
Publication date:2008
-
Size:4 Seiten, 11 Quellen
-
ISBN:
-
Type of media:Conference paper
-
Type of material:Print
-
Language:English
-
Keywords:
-
Source:
Table of contents conference proceedings
The tables of contents are generated automatically and are based on the data records of the individual contributions available in the index of the TIB portal. The display of the Tables of Contents may therefore be incomplete.
- 1
-
(110) NMOSFETs competitive to (001) NMOSFETs: Si migration to create (331) facet and ultra-shallow Al implantation after NiSi formationFukutome, H. / Okabe, K. / Okubo, K. / Minakata, H. / Morisaki, Y. / Ikeda, K. / Yamamoto, T. / Hosaka, K. / Momiyama, Y. / Kase, M. et al. | 2008
- 1
-
Scaling trends for random telegraph noise in deca-nanometer Flash memoriesGhetti, A. / Monzio Compagnoni, C. / Biancardi, F. / Lacaita, A. L. / Beltrami, S. / Chiavarone, L. / Spinelli, A.S. / Visconti, A. et al. | 2008
- 1
-
Implementation and optimization of asymmetric transistors in advanced SOI CMOS technologies for high performance microprocessorsHoentschel, J. / Wei, A. / Wiatr, M. / Gehring, A. / Scheiper, T. / Mulfinger, R. / Feudel, T. / Lingner, T. / Poock, A. / Muehle, S. et al. | 2008
- 1
-
High-performance surface channel In-rich In0.75Ga0.25As MOSFETs with ALD high-k as gate dielectricXuan, Y. / Shen, T. / Xu, M. / Wu, Y.Q. / Ye, P. D. et al. | 2008
- 1
-
190V N-channel lateral IGBT integration in SOI 0.35 µm BCD technologySambi, M. / Gallo, M. / Galbiati, P. et al. | 2008
- 1
-
GaN-based natural super junction diodes with multi-channel structuresIshida, Hidetoshi / Shibata, Daisuke / Matsuo, Hisayoshi / Yanagihara, Manabu / Uemoto, Yasuhiro / Ueda, Tetsuzo / Tanaka, Tsuyoshi / Ueda, Daisuke et al. | 2008
- 1
-
Systems design of a high resolution retinal prosthesisWeiland, James D. / Fink, Wolfgang / Humayun, Mark S. / Liu, Wentai / Li, Wen / Sivaprakasam, Mohanasankar / Yu-Chong Tai, / Tarbell, Mark A. et al. | 2008
- 1
-
Scaling of In0.7Ga0.3As buried-channel MOSFETsSun, Yanning / Kiewra, E. W. / de Souza, J. P. / Bucchignano, J. J. / Fogel, K. E. / Sadana, D. K. / Shahidi, G. G. et al. | 2008
- 1
-
More strain and less stress- the guideline for developing high-end strained CMOS technologies with acceptable reliabilityChung, Steve S. / Hsieh, E. R. / Huang, D. C. / Lai, C. S. / Tsai, C. H. / Liu, P. W. / Lin, Y. H. / Tsai, C. T. / Ma, G. H. / Chien, S. C. et al. | 2008
- 1
-
RF performance of top-gated, zero-bandgap graphene field-effect transistorsMeric, Inanc / Baklitskaya, Natalia / Kim, Philip / Shepard, Kenneth L. et al. | 2008
- 1
-
Carbon-based resistive memoryKreupl, Franz / Bruchhaus, Rainer / Majewski, Petra / Philipp, Jan B. / Symanczyk, Ralf / Happ, Thomas / Arndt, Christian / Vogt, Mirko / Zimmermann, Roy / Buerke, Axel et al. | 2008
- 1
-
Comprehensive performance assessment of scaled (110) CMOSFETs based on understanding of STI stress effects and velocity saturationSaitoh, Masumi / Yasutake, Nobuaki / Nakabayashi, Yukio / Numata, Toshinori / Uchida, Ken et al. | 2008
- 1
-
On the difference of temperature dependence of metal gate and poly gate SOI MOSFET threshold voltagesHan, Shu-Jen / Wang, Xinlin / Chang, Paul / Guo, Dechao / Na, Myung-Hee / Rim, Ken et al. | 2008
- 1
-
Session 27: CMOS devices and technology - advanced CMOS logic and SoC platformsLee, Seok-Hee / Josse, Emmanuel et al. | 2008
- 1
-
A 45nm low power system-on-chip technology with dual gate (logic and I/O) high-k/metal gate strained silicon transistorsJan, C.-H. / Bai, P. / Biswas, S. / Buehler, M. / Chen, Z.-P. / Curello, G. / Gannavaram, S. / Hafez, W. / He, J. / Hicks, J. et al. | 2008
- 1
-
55 nm capacitor-less 1T DRAM cell transistor with non-overlap structureKi-Whan Song, / Hoon Jeong, / Jae-Wook Lee, / Sung In Hong, / Nam-Kyun Tak, / Young-Tae Kim, / Yong Lack Choi, / Han Sung Joo, / Sung Hwan Kim, / Ho Ju Song, et al. | 2008
- 1
-
Session 34: Memory technology - nanoscale poly-FG and charge trap flash non-volatile memoriesTejas Krishnamohan, / Jong-Ho Lee, et al. | 2008
- 1
-
Good 150°C retention and fast erase characteristics in charge-trap-engineered memory having a scaled Si3N4 layerLina, S. H. / Chin, Albert / Yeh, F. S. / McAlister, S. P. et al. | 2008
- 1
-
TDDB in the presence of interface states: Implications for the PMOS reliability marginNigam, T. / Peumans, P. et al. | 2008
- 1
-
Amorphous silicon thin-film transistors with DC saturation current half-life of more than 100 yearsHekmatshoar, Bahman / Cherenack, Kunigunde H. / Wagner, Sigurd / Sturm, James C. et al. | 2008
- 1
-
Copyright| 2008
- 1
-
The impact of la-doping on the reliability of low Vth high-k/metal gate nMOSFETs under various gate stress conditionsKang, C. Y. / Young, C. D. / Huang, J. / Kirsch, P. / Heh, D. / Sivasubramani, P. / Park, H. K. / Bersuker, G. / Lee, B. H. / Choi, H.S. et al. | 2008
- 1
-
Engineering single spins and coherence for spintronicsAwschalom, David D. et al. | 2008
- 1
-
Magnetic coupled spin-torque devices and magnetic ring oscillatorLeem, Larkhoon / Harris, James S. et al. | 2008
- 1
-
Session 8: Modeling and simulation - advances in modeling low dimensional structuresIannaccone, Giuseppe et al. | 2008
- 1
-
Modeling of schottky and ohmic contacts between metal and graphene nanoribbons using extended hückel theory (EHT)-based NEGF methodGuan, Ximeng / Ran, Qiushi / Zhang, Ming / Yu, Zhiping / Wong, H.-S. Philip et al. | 2008
- 1
-
Advanced image sensor technology for pixel scaling down toward 1.0µm (Invited)JungChak Ahn, / Chang-Rok Moon, / Bumsuk Kim, / Kyungho Lee, / Kim, Yitae / Lim, Moosup / Wook Lee, / Heemin Park, / Kyoungsik Moon, / Jaeryung Yoo, et al. | 2008
- 1
-
Low power and high speed bipolar switching with a thin reactive Ti buffer layer in robust HfO2 based RRAMLee, H. Y. / Chen, P. S. / Wu, T. Y. / Chen, Y. S. / Wang, C. C. / Tzeng, P. J. / Lin, C. H. / Chen, F. / Lien, C. H. / Tsai, M.-J. et al. | 2008
- 1
-
Evidence for threshold switching in the set process of NiO-based RRAM and physical modeling for set, reset, retention and disturb predictionCagli, C. / Ielmini, D. / Nardi, F. / Lacaita, A. L. et al. | 2008
- 1
-
Session 19: Quantum, power, and compound semiconductors devices - RF power and optoelectronic devicesZanoni, Enrico / Khemka, Vishnu et al. | 2008
- 1
-
High RF power transistor with laterally modulation-doped channel and self-aligned silicide in 45nm node CMOS technologyShima, M. / Suzuki, T. / Kawano, Y. / Okabe, K. / Yamaura, S. / Joshin, K. / Futatsugi, T. et al. | 2008
- 1
-
Impact of electrical degradation on trapping characteristics of GaN high electron mobility transistorsJungwoo Joh, / del Alamo, Jesus A. et al. | 2008
- 1
-
Single-crystal thin-film bonding on diamond-like carbon film by intermolecular force for super high-density integration of high-power LEDsOgihara, M. / Sagimori, T. / Mutoh, M. / Furuta, H. / Suzuki, T. / Fujiwara, H. / Sakuta, M. et al. | 2008
- 1
-
High-frequency effects in carbon nanotube interconnects and implications for on-chip inductor designLi, Hong / Banerjee, Kaustav et al. | 2008
- 1
-
A new physics-based model for TANOS memories program/eraseMauri, A. / Compagnoni, C. Monzio / Amoroso, S. / Maconi, A. / Cattaneo, F. / Benvenuti, A. / Spinelli, A. S. / Lacaita, A. L. et al. | 2008
- 1
-
Session 24: Special Evening Session highlights of ISSCC 2008Thewes, Roland et al. | 2008
- 1
-
Development of efficient broadband digital acoustic device based on nanocrystalline silicon ultrasound emitterKoshida, N. / Asami, A. / Gelloz, B. et al. | 2008
- 1
-
Modeling and analysis of grain-orientation effects in emerging metal-gate devices and implications for SRAM reliabilityDadgour, Hamed / Kazuhiko Endo, / De, Vivek / Banerjee, Kaustav et al. | 2008
- 1
-
AlInAs/GaInAs mHEMTs on silicon substrates grown By MOCVDLau, Kei May / Tang, Chak Wah / Li, Haiou / Zhong, Zhenyu et al. | 2008
- 1
-
Effective reduction of threshold voltage variability and standby leakage using advanced co-implantation and laser anneal for low power applicationsHo Lee, / Hwa Sung Rhee, / Ji Hye Yi, / Myung Sun Kim, / Hoi Sung Chung, / Min Sun Kim, / Sun Me Lim, / Yong Shik Kim, / Moon Han Park, / Nae-In Lee, et al. | 2008
- 1
-
0.5 nm EOT low leakage ALD SrTiO3 on TiN MIM capacitors for DRAM applicationsMenou, N. / Wang, X.P. / Kaczer, B. / Polspoel, W. / Popovici, M. / Opsomer, K. / Pawlak, M. A. / Knaepen, W. / Detavernier, C. / Blomberg, T. et al. | 2008
- 1
-
Sub-femto-farad capacitance-voltage characteristics of single channel gate-all-around nano wire transistors for electrical characterization of carrier transportZhao, H. / Rustagi, S. C. / Singh, N. / Ma, F.-J. / Samudra, G. S. / Budhaaraju, K. D. / Manhas, S. K. / Tung, C.H. / Lo, G. Q. / Baccarani, G. et al. | 2008
- 1
-
First observation of FinFET specific mismatch behavior and optimization guidelines for SRAM scalingMerelle, T. / Curatola, G. / Nackaerts, A. / Collaert, N. / van Dal, M.J.H. / Doornbos, G. / Doorn, T.S. / Christie, P. / Vellianitis, G. / Duriez, B. et al. | 2008
- 1
-
Atomistic modeling of fluorine implantation and diffusion in III-nitride semiconductorsYuan, Li / Wang, Maojun / Chen, Kevin J. et al. | 2008
- 1
-
Analytical model for RESET operation of Phase Change MemoryRajendran, B. / Karidis, J. / Lee, M-H. / Breitwisch, M. / Burr, G. W. / Shih, Y-H. / Cheek, R. / Schrott, A. / Lung, H-L. / Lam, C. et al. | 2008
- 1
-
High electromigration-resistant copper/carbon nanotube composite for interconnect applicationChai, Yang / Chan, Philip C. H. et al. | 2008
- 1
-
Session 32: Characterization, reliability, and yield - defect characterization and dielectric breakdownNigam, Tanya / Tokei, Zsolt et al. | 2008
- 1
-
Trap Spectroscopy by Charge Injection and Sensing (TSCIS): A quantitative electrical technique for studying defects in dielectric stacksDegraeve, R. / Cho, M. / Govoreanu, B. / Kaczer, B. / Zahid, M.B. / Van Houdt, J. / Jurczak, M. / Groeseneken, G. et al. | 2008
- 1
-
Novel model for cell - system interaction (MCSI) in NAND FlashFriederich, C. / Hayek, J. / Kux, A. / Muller, T. / Chan, N. / Kobernik, G. / Specht, M. / Richter, D. / Schmitt-Landsiedel, D. et al. | 2008
- 1
-
Floating Gate super multi level NAND Flash Memory Technology for 30nm and beyondKamigaichi, T. / Arai, F. / Nitsuta, H. / Endo, M. / Nishihara, K. / Murata, T. / Takekida, H. / Izumi, T. / Uchida, K. / Maruyama, T. et al. | 2008
- 1
-
Full-field EUV and immersion lithography integration in 0.186μm2 FinFET 6T-SRAM cellVeloso, A. / Demuynck, S. / Ercken, M. / Goethals, A. M. / Demand, M. / de Marneffe, J.-F. / Altamirano, E. / De Keersgieter, A. / Delvaux, C. / De Backer, J. et al. | 2008
- 1
-
Record ION/IOFF performance for 65nm Ge pMOSFET and novel Si passivation scheme for improved EOT scalabilityMitard, J. / De Jaeger, B. / Leys, F.E. / Hellings, G. / Martens, K. / Eneman, G. / Brunco, D.P. / Loo, R. / Lin, J.C. / Shamiryan, D. et al. | 2008
- 1
-
On strain and scattering in deeply-scaled n-channel MOSFETs: A quantum-corrected semiclassical Monte Carlo analysisNingyu Shi, / Register, Leonard F. / Banerjee, Sanjay K. et al. | 2008
- 1
-
Aggressive design of millisecond annealing junctions for near-scaling-limit bulk CMOS using raised source/drain extensionsYako, Koichi / Uejima, Kazuya / Toyoji Yamamoto, / Mineji, Akira / Nagumo, Toshiharu / Takeo Ikezawa, / Norihiko Matsuzaka, / Shishiguchi, Seiichi / Takashi Hase, / Hane, Masami et al. | 2008
- 1
-
Session 37: Process Technology - advanced source-drain engineering and memory processingHsing-Huang Tseng, / Xiaomang Chen, et al. | 2008
- 1
-
Session 7: Solid-state and nanoelectronic devices - spin devices, batteries and steep slope FETsKreupl, Franz / Guo, Jing et al. | 2008
- 1
-
Graphene nano-ribbon (GNR) interconnects: A genuine contender or a delusive dream?Xu, Chuan / Li, Hong / Banerjee, Kaustav et al. | 2008
- 1
-
Session 13: Emerging technologies - nanotechnologies for medicine and biologydel Alamo, J.A. et al. | 2008
- 1
-
Session 15: Quantum, power, and compound semiconductors devices - III-V MOSFETs with high k dielectricsFay, Patrick et al. | 2008
- 1
-
Multi-probe interface characterization of In0.65Ga0.35As/Al2O3 MOSFETVarghese, D. / Xuan, Y. / Wu, Y. Q. / Shen, T. / Ye, P. D. / Alam, M. A. et al. | 2008
- 1
-
A new silane-ammonia surface passivation technology for realizing inversion-type surface-channel GaAs N-MOSFET with 160 nm gate length and high-quality metal-gate/high-k dielectric stackChin, Hock-Chun / Zhu, Ming / Lee, Zhi-Chien / Liu, Xinke / Tan, Kian-Ming / Lee, Hock Koon / Shi, Luping / Tang, Lei-Jun / Tung, Chih-Hang / Lo, Guo-Qiang et al. | 2008
- 1
-
High mobility high-k/Ge pMOSFETs with 1 nm EOT -New concept on interface engineering and interface characterizationXie, Ruilong / Phung, Thanh Hoa / He, Wei / Sun, Zhiqiang / Yu, Mingbin / Cheng, Zhiyuan / Zhu, Chunxiang et al. | 2008
- 1
-
Localized ultra-thin GeOI: An innovative approach to germanium channel MOSFETs on bulk Si substratesBatail, E. / Monfray, S. / Tabone, C. / Kermarrec, O. / Damlencourt, JF. / Gautier, P. / Rabille, G. / Arvet, C. / Loubet, N. / Campidelli, Y. et al. | 2008
- 1
-
1.5-V Operating fully-depleted amorphous oxide thin film transistors achieved by 63-mV/dec subthreshold slopeKawamura, T. / Uchiyama, H. / Saito, S. / Wakana, H. / Mine, T. / Hatano, M. / Torii, K. / Onai, T. et al. | 2008
- 1
-
Intrinsic correlation between mobility reduction and Vt shift due to interface dipole modulation in HfSiON/SiO2 stack by La or Al additionKosuke Tatsumura, / Takamitsu Ishihara, / Seiji Inumiya, / Kazuaki Nakajima, / Akio Kaneko, / Masakazu Goto, / Shigeru Kawanaka, / Atsuhiro Kinoshita, et al. | 2008
- 1
-
Comparison of (001), (110) and (111) uniaxial- and biaxial- strained-Ge and strained-Si PMOS DGFETs for all channel orientations: Mobility enhancement, drive current, delay and off-state leakageKrishnamohan, Tejas / Kim, Donghyun / Thanh Viet Dinh, / Pham, Anh-tuan / Meinerzhagen, Bernd / Jungemann, Christoph / Saraswat, Krishna et al. | 2008
- 1
-
The chemistry of gate dielectric breakdownLi, X. / Tung, C. H. / Pey, K. L. / Lo, V. L. et al. | 2008
- 1
-
Highly reliable CMOS-integrated 11MPixel SiGe-based micro-mirror arrays for high-end industrial applicationsHaspeslagh, Luc / De Coster, Jeroen / Pedreira, Olalla Varela / De Wolf, Ingrid / Du Bois, Bert / Verbist, Agnes / Van Hoof, Rita / Willegems, Myriam / Locorotondo, Sabrina / Bryce, George et al. | 2008
- 1
-
Observation of optical gain in ultra-thin silicon resonant cavity light-emitting diodeSaito, S. / Sakuma, N. / Suwa, Y. / Arimoto, H. / Hisamoto, D. / Uchiyama, H. / Yamamoto, J. / Sakamizu, T. / Mine, T. / Kimura, S. et al. | 2008
- 1
-
Welcome from the general chair| 2008
- 1
-
Session 1: PlenaryBrederlow, Ralf et al. | 2008
- 1
-
Electronic and ionic devices: Semiconductor chips with brain tissueFromherz, Peter et al. | 2008
- 1
-
Impacts of non-negligible electron trapping/detrapping on the NBTI characteristics in silicon nanowire transistors with TiN metal gatesLiangliang Zhang, / Runsheng Wang, / Jing Zhuge, / Ru Huang, / Dong-Won Kim, / Donggun Park, / Yangyuan Wang, et al. | 2008
- 1
-
Demonstration of highly scaled FinFET SRAM cells with high-κ/metal gate and investigation of characteristic variability for the 32 nm node and beyondKawasaki, H. / Khater, M. / Guillorn, M. / Fuller, N. / Chang, J. / Kanakasabapathy, S. / Chang, L. / Muralidhar, R. / Babich, K. / Yang, Q. et al. | 2008
- 1
-
Effects of drain bias on threshold voltage fluctuation and its impact on circuit characteristicsMakoto Miyamura, / Toshiharu Nagumo, / Kiyoshi Takeuchi, / Koichi Takeda, / Masami Hane, et al. | 2008
- 1
-
New heterogeneous multi-chip module integration technology using self-assembly methodFukushima, T. / Konno, T. / Kiyoyama, K. / Murugesan, M. / Sato, K. / Jeong, W.-C. / Ohara, Y. / Noriki, A. / Kanno, S. / Kaiho, Y. et al. | 2008
- 1
-
New physical model for ultra-scaled 3D nitride-trapping non-volatile memoriesNowak, E. / Bocquet, M. / Perniola, L. / Ghibaudo, G. / Molas, G. / Jahan, C. / Kies, R. / Reimbold, G. / De Salvo, B. / Boulanger, F. et al. | 2008
- 1
-
Enabling technologies for 3D integration: From packaging miniaturization to advanced stacked ICsSillon, N. / Astier, A. / Boutry, H. / Di Cioccio, L. / Henry, D. / Leduc, P. et al. | 2008
- 1
-
Comprehensive study of 32 nm node ultralow-k/Cu (keff=2.6) dual damascene integration featuring short TAT silylated porous silica (k=2.1)Oda, N. / Chikaki, S. / Kubota, T. / Nakao, S. / Tomioka, K. / Soda, E. / Nakamura, N. / Nogawa, J. / Kawashima, Y. / Hayashi, R. et al. | 2008
- 1
-
A low power 40nm CMOS technology featuring extremely high density of logic (2100kGate/mm2) and SRAM (0.195μm2) for wide range of mobile applications with wireless systemWatanabe, R. / Oishi, A. / Sanuki, T. / Kimijima, H. / Okamoto, K. / Fujita, S. / Fukui, H. / Yoshida, K. / Otani, H. / Morifuji, E. et al. | 2008
- 1
-
Session 31: Solid-state and nanoelectronic devices - silicon nanowire transistorsBaccarani, Giorgio / Lemme, Max et al. | 2008
- 1
-
Session 33: Memory technology - DRAM and NORHideaki Aochi, / Ionescu, Adrian et al. | 2008
- 1
-
Interface-controlled self-align source/drain Ge pMOSFETs using thermally-oxidized GeO2 interfacial layersYosuke Nakakita, / Ryosho Nakane, / Takashi Sasada, / Matsubara, Hiroshi / Mitsuru Takenaka, / Takagi, Shinichi et al. | 2008
- 1
-
Improved effective switching current (IEFF+) and capacitance methodology for CMOS circuit performance prediction and model-to-hardware correlationXiaojun Yu, / Shu-jen Han, / Noah Zamdmer, / Jie Deng, / Nowak, Edward J. / Rim, Ken et al. | 2008
- 1
-
Carrier transport and stress engineering in advanced nanoscale transistors from (100) and (110) transistors to carbon nanotube FETs and beyondUchida, Ken / Saitoh, Masumi / Kobayashi, Shigeki et al. | 2008
- 1
-
Microelectronic neurosensor arrays: Towards implantable brain communication interfacesSong, Y.-K. / Borton, D. A. / Park, S. / Patterson, W. R. / Bull, C. W. / Mislow, J. / Simeral, J. / Donoghue, J. P. / Nurmikko, A. V. et al. | 2008
- 1
-
A 36 x 48 mm2 48 M-pixel CCD imager for professional DSC applicationsManoury, E.J.P. / Klaassens, W. / Kuijk, H.C. van / Meessen, L.H. / Kleimann, A.C. / Bogaart, E.W. / Peters, I.M. / Stoldt, H. / Koyuncu, M. / Bosiers, J.T. et al. | 2008
- 1
-
Chemical mechanical polish: The enabling technologySteigerwald, Joseph M. et al. | 2008
- 1
-
High performance Hi-K + metal gate strain enhanced transistors on (110) siliconPackan, P. / Cea, S. / Deshpande, H. / Ghani, T. / Giles, M. / Golonzka, O. / Hattendorf, M. / Kotlyar, R. / Kuhn, K. / Murthy, A. et al. | 2008
- 1
-
Ultra-High Frequency rectification using organic diodesSteudel, Soeren / Myny, Kris / Vicca, Peter / Cheyns, David / Genoe, Jan / Heremans, Paul et al. | 2008
- 1
-
Rugged Dotted-channel LDMOS structureKhan, Tahir / Khemka, Vishnu / Zhu, Ronghua / Bose, Abe et al. | 2008
- 1
-
Source injection induced off-state breakdown and its improvement by enhanced back barrier with fluorine ion implantation in AlGaN/GaN HEMTsWang, Maojun / Chen, Kevin J. et al. | 2008
- 1
-
Impact of SOI, Si1-xGexOI and GeOI substrates on CMOS compatible Tunnel FET performanceMayer, F. / Le Royer, C. / Damlencourt, J.-F. / Romanjek, K. / Andrieu, F. / Tabone, C. / Previtali, B. / Deleonibus, S. et al. | 2008
- 1
-
Feedback FET: A novel transistor exhibiting steep switching behavior at low bias voltagesPadilla, Alvaro / Chun Wing Yeung, / Shin, Changhwan / Hu, Chenming / Tsu-Jae King Liu, et al. | 2008
- 1
-
A novel SPRAM (SPin-transfer torque RAM)-based reconfigurable logic block for 3D-stacked reconfigurable spin processorSekikawa, M. / Kiyoyama, K. / Hasegawa, H. / Miura, K. / Fukushima, T. / Ikeda, S. / Tanaka, T. / Ohno, H. / Koyanagi, M. et al. | 2008
- 1
-
From NEMO1D and NEMO3D to OMEN: Moving towards atomistic 3-D quantum transport in nano-scale semiconductorsKlimeck, Gerhard / Luisier, Mathieu et al. | 2008
- 1
-
Session 9: Memory technology — phase-change and unified memoryIelmini, Daniele et al. | 2008
- 1
-
Mechanisms of retention loss in Ge2Sb2Te5-based Phase-Change MemoryShih, Y.H. / Wu, J.Y. / Rajendran, B. / Lee, M.H. / Cheek, R. / Lamorey, M. / Breitwisch, M. / Zhu, Y. / Lai, E. K. / Chen, C.F. et al. | 2008
- 1
-
Flexible terahertz metamaterials: towards a terahertz metamaterial invisible cloakHu Tao, / Landy, N. I. / Fan, Kebin / Strikwerda, A. C. / Padilla, W. J. / Averitt, R. D. / Xin Zhang, et al. | 2008
- 1
-
Session 14: Characterization, reliability, and yield - ESD/memory reliabilityGossner, Harald / Paccagnella, Alessandro et al. | 2008
- 1
-
Neutron-induced soft errors in advanced flash memoriesCellere, G. / Gerardin, S. / Bagatin, M. / Paccagnella, A. / Visconti, A. / Bonanomi, M. / Beltrami, S. / Roche, P. / Gasiot, G. / Harboe Sorensen, R. et al. | 2008
- 1
-
Technology-circuit collaboration for low-power LSI'sSakurai, Takayasu et al. | 2008
- 1
-
Session 20: Displays, sensors, and MEMS - biosensors and 3D hetero integrationWeber, Werner / Bock, Karlheinz et al. | 2008
- 1
-
Silicon photonic modulator and integration for high-speed applicationsLing Liao, / Ansheng Liu, / Basak, Juthika / Nguyen, Hat / Paniccia, Mario / Chetrit, Yoel / Rubin, Doron et al. | 2008
- 1
-
Comprehensive understanding of surface roughness and Coulomb scattering mobility in biaxially-strained Si MOSFETsZhao, Yi / Takenaka, Mitsuru / Takagi, Shinichi et al. | 2008
- 1
-
High performance Cu interconnects with damage-less full molecular-pore-stack (MPS) SiOCH for 32nm-node LSIs and beyondUeki, M. / Tagami, M. / Ito, F. / Kume, I. / Yamamoto, H. / Kawahara, J. / Inoue, N. / Hijioka, K. / Takeuchi, T. / Saito, S. et al. | 2008
- 1
-
32nm gate-first high-k/metal-gate technology for high performance low power applicationsDiaz, C. H. / Goto, K. / Huang, H.T. / Yasuda, Yuri / Tsao, C.P. / Chu, T.T. / Lu, W.T. / Chang, Vincent / Hou, Y.T. / Chao, Y.S. et al. | 2008
- 1
-
Gate length scaling and high drive currents enabled for high performance SOI technology using high-κ/metal gateHenson, K. / Bu, H. / Na, M. H. / Liang, Y. / Kwon, U. / Krishnan, S. / Schaeffer, J. / Jha, R. / Moumen, N. / Carter, R. et al. | 2008
- 1
-
High piezoelectric properties in LiNbO3 transferred layer by the Smart Cut™ technology for ultra wide band BAW filter applicationsMoulet, J.-S. / Pijolat, M. / Dechamp, J. / Mazen, F. / Tauzin, A. / Rieutord, F. / Reinhardt, A. / Defay, E. / Deguet, C. / Ghyselen, B. et al. | 2008
- 1
-
Session 29: Modeling and simulation variability modeling and technology optimizationGildenblatt, Gennady / Wei-Kai Shih, et al. | 2008
- 1
-
Variability modeling and impact on designOnodera, Hidetoshi et al. | 2008
- 1
-
Record PVCR GaAs-based tunnel diodes fabricated on Si substrates using aspect ratio trappingRommel, S. L. / Pawlik, D. / Thomas, P. / Barth, M. / Johnson, K. / Kurinec, S. K. / Seabaugh, A. / Cheng, Z. / Li, J. Z. / Park, J.S. et al. | 2008
- 1
-
Performance and reliability of a 4Mb Si nanocrystal NOR Flash memory with optimized 1T memory cellsGerardi, C. / Molas, G. / Albini, G. / Tripiciano, E. / Gely, M. / Emmi, A. / Fiore, O. / Nowak, E. / Mello, D. / Vecchio, M. et al. | 2008
- 1
-
Double-Gate Strained-Ge Heterostructure Tunneling FET (TFET) With record high drive currents and ≪60mV/dec subthreshold slopeKrishnamohan, Tejas / Kim, Donghyun / Raghunathan, Shyam / Saraswat, Krishna et al. | 2008
- 1
-
Full-band and atomistic simulation of realistic 40 nm InAs HEMTLuisier, Mathieu / Neophytou, Neophytos / Kharche, Neerav / Klimeck, Gerhard et al. | 2008
- 1
-
Contact resistance reduction of Pt-incorporated NiSi for continuous CMOS scaling ∼ Atomic level analysis of Pt/B/As distribution within silicide films ∼Takeshi Sonehara, / Akira Hokazono, / Haruko Akutsu, / Tomokazu Sasaki, / Hiroshi Uchida, / Mitsuhiro Tomita, / Hideji Tsujii, / Shigeru Kawanaka, / Satoshi Inaba, / Yoshiaki Toyoshima, et al. | 2008
- 1
-
A new framework for performance prediction of advanced MOSFETs with plasma-induced recess structure and latent defect siteEriguchi, K. / Nakakubo, Y. / Matsuda, A. / Kamei, M. / Ohta, H. / Nakagawa, H. / Hayashi, S. / Noda, S. / Ishikawa, K. / Yoshimaru, M. et al. | 2008
- 1
-
Non-volatile memory technologies: The quest for ever lower costLai, Stefan et al. | 2008
- 1
-
Session 5: Characterization, reliability, and yield BTI in SiON and high k FETsZafar, Sufi / Bersuker, Gennadi et al. | 2008
- 1
-
A comprehensive and comparative study of interface and bulk characteristics of nMOSETs with la-incorporated high-k dielectricsWon-Ho Choi, / Hyuk-Min Kwon, / In-Shik Han, / Tae-Gyu Goo, / Min-Ki Na, / Chang Yong Kang, / Gennadi Bersuker, / Byoung Hun Lee, / Yoon-Ha Jeong, / Hi-Deok Lee and Raj Jammy1, et al. | 2008
- 1
-
Monolithic integration of lateral field-effect rectifier with normally-off HEMT for GaN-on-Si switch-mode power supply convertersChen, Wanjun / Wong, King-Yuen / Chen, Kevin J. et al. | 2008
- 1
-
Transient effects of delay, switching and recovery in phase change memory (PCM) devicesLavizzari, S. / Ielmini, D. / Sharma, D. / Lacaita, A. L. et al. | 2008
- 1
-
Session 10: CMOS devices and technology - Vth variation and scalingFaynot, Olivier / Breashears, Eddie et al. | 2008
- 1
-
High immunity to threshold voltage variability in undoped ultra-thin FDSOI MOSFETs and its physical understandingWeber, O. / Faynot, O. / Andrieu, F. / Buj-Dufournet, C. / Allain, F. / Scheiblin, P. / Foucher, J. / Daval, N. / Lafond, D. / Tosti, L. et al. | 2008
- 1
-
Electrochemical and thermochemical memoriesWaser, Rainer et al. | 2008
- 1
-
Design and Integration Technology for Miniature Medical MicrosystemsVan Hoof, C. / Neves, H. / Aarts, A.A.A. / Iker, F. / Soussan, P. / Gonzalez, M. / Beyne, E. / Vanfleteren, J. / Puers, R.P. / De Moor, P. et al. | 2008
- 1
-
Guard Ring Interactions and their Effect on CMOS Latchup ResilienceFarbiz, Farzan / Rosenbaum, Elyse et al. | 2008
- 1
-
Session 21: Solid-state and nanoelectronic devices carbon-based devicesJing Kong, / Tsu-Jae King Liu, et al. | 2008
- 1
-
Session 22: Modeling and simulation atomistic process simulation and memory modelingKen'ichiro Sonoda, / Pichler, Peter et al. | 2008
- 1
-
Impact of platinum incorporation on thermal stability and interface resistance in NiSi/Si junctions based on first-principles calculationTakao Marukame, / Yamauchi, Takashi / Yoshifumi Nishi, / Tomokazu Sasaki, / Atsuhiro Kinoshita, / Junji Koga, / Koichi Kato, et al. | 2008
- 1
-
Session 26: Process technology - interconnect and 3D-IC technologiesHasegawa, Toshiaki / Kohl, Paul et al. | 2008
- 1
-
Multi-gate vibrating-body field effect transistor (VB-FETs)Grogg, Daniel / Mazza, Marco / Tsamados, Dimitrios / Ionescu, Adrian Mihai et al. | 2008
- 1
-
Session 30: Quantum, power, and compound semiconductors devices - heterostructure high-speed devicesDatta, Suman / Nicolic, Rebecca et al. | 2008
- 1
-
Heterogeneous wafer-scale integration of 250nm, 300GHz InP DHBTs with a 130nm RF-CMOS technologyLi, J.C. / Royter, Y. / Patterson, P.R. / Hussain, T. / Duvall, J.R. / Montes, M.C. / Le, D. / Hitko, D.A. / Sokolich, M. / Chow, D.H. et al. | 2008
- 1
-
Precise detection of singly mismatched DNA with functionalized diamond electrolyte solution gate FET.Shoma Kuga, / Shinya Tajima, / Jung-hoon Yang, / Kazuyuki Hirama, / Hiroshi Kawarada, et al. | 2008
- 1
-
Micro- and nano-electro mechanical (MEMS and NEMS)-based technologies for implanted biomedical devicesElman, N. / Patta, Y. / Ho Duc, H.L. / Daniel, K. / Masi, B. / Scott, A. / Cima, M. et al. | 2008
- 1
-
Award presentations| 2008
- 1
-
Stack friendly all-oxide 3D RRAM using GaInZnO peripheral TFT realized over glass substratesLee, M.-J. / Lee, C. B. / Kim, S. / Yin, H. / Park, J. / Ahn, S. E. / Kang, B. S. / Kim, K. H. / Stefanovich, G. / Song, I. et al. | 2008
- 1
-
A large-area, flexible, ultrasonic imaging system with a printed organic transistor active matrixKato, Yusaku / Sekitani, Tsuyoshi / Noguchi, Yoshiaki / Takamiya, Makoto / Sakurai, Takayasu / Someya, Takao et al. | 2008
- 1
-
A high-sensitivity broadband image sensor using CuInGaSe2 thin filmsMatsushima, O. / Miyazaki, K. / Takaoka, M. / Maekawa, T. / Sekiguchi, H. / Fuchikami, T. / Moriwake, M. / Takasu, H. / Ishizuka, S. / Sakurai, K. et al. | 2008
- 1
-
Lower-current and fast switching of a perpendicular TMR for high speed and high density spin-transfer-torque MRAMKishi, T. / Yoda, H. / Kai, T. / Nagase, T. / Kitagawa, E. / Yoshikawa, M. / Nishiyama, K. / Daibou, T. / Nagamine, M. / Amano, M. et al. | 2008
- 1
-
ESD qualification changes for 45nm and beyondDuvvury, Charvaka et al. | 2008
- 1
-
Impact of additional factors in threshold voltage variability of metal/high-k gate stacks and its reduction by controlling crystalline structure and grain size in the metal gatesOhmori, K. / Matsuki, T. / Ishikawa, D. / Morooka, T. / Aminaka, T. / Sugita, Y. / Chikyow, T. / Shiraishi, K. / Nara, Y. / Yamada, K. et al. | 2008
- 1
-
Designing robust ultra-low power circuitsSylvester, Dennis / Hanson, Scott / Mingoo Seok, / Yu-Shiang Lin, / Blaauw, David et al. | 2008
- 1
-
Designing smart temperature sensors in standard CMOSMakinwa, Kofi A. A. et al. | 2008
- 1
-
Advanced simulation of statistical variability and reliability in nano CMOS transistorsAsenov, A. / Roy, S. / Brown, R. A. / Roy, G. / Alexander, C. / Riddet, C. / Millar, C. / Cheng, B. / Martinez, A. / Seoane, N. et al. | 2008
- 1
-
Session 18: Characterization, reliability, and yield - Strain optimization and performanceRao, Ramgopal / Reimbold, Gilles et al. | 2008
- 1
-
Role of non-radiative recombination in the degradation of InGaN-based laser diodesMeneghini, M. / Trivellin, N. / Trevisanello, L.-R. / Orita, K. / Yuri, M. / Ueda, D. / Zanoni, E. / Meneghesso, G. et al. | 2008
- 1
-
Scaling and variability analysis of CNT-based NEMS devices and circuits with implications for process designDadgour, Hamed / Cassell, Alan M. / Banerjee, Kaustav et al. | 2008
- 1
-
Atomistic modeling of impurity ion implantation in ultra-thin-body Si devicesPelaz, L. / Duffy, R. / Aboy, M. / Marques, L. / Lopez, P. / Santos, I. / Pawlak, B. J. / van Dal, M. J. H. / Duriez, B. / Merelle, T. et al. | 2008
- 1
-
RF performance boosting for 40nm-node CMOS device by low-k/Cu dual damascene contactKawahara, J. / Hijioka, K. / Kume, I. / Nagase, H. / Tanabe, A. / Ueki, M. / Yamamoto, H. / Ito, F. / Inoue, N. / Tagami, M. et al. | 2008
- 1
-
Fabrication and characterisation of strained Si heterojunction bipolar transistors on virtual substratesPersson, S. / Fjer, M. / Escobedo-Cousin, E. / Malm, G. / Wang, Y.-B. / Hellstrom, P.-E. / Ostling, M. / Parker, E.H.C. / Nash, L.J. / Majhi, P. et al. | 2008
- 1
-
10 nm bulk-planar SONOS-type memory with double tunnel junction and sub-10 nm scaling utilizing source to drain direct tunnel sub-thresholdOhba, Ryuji / Mitani, Yuichiro / Sugiyama, Naoharu / Fujita, Shinobu et al. | 2008
- 1
-
32nm general purpose bulk CMOS technology for high performance applications at low voltageArnaud, F. / Liu, J. / Lee, Y.M. / Lim, K.Y. / Kohler, S. / Chen, J. / Moon, B.K. / Lai, C.W. / Lipinski, M. / Sang, L. et al. | 2008
- 1
-
A novel, rigorous approach to the dynamic, large-signal stability analysis of semiconductor devices and circuits under electro-thermal interactionCappelluti, F. / Traversa, F.L. / Bonani, F. / Ghione, G. et al. | 2008
- 1
-
Session 2: Process technology — high-k metal-gate integrationColombo, Luigi / Yoshi Tsuchiya, et al. | 2008
- 1
-
PV Technology trends and Industry's RoleAsano, Naoki / Saga, Tatsuo et al. | 2008
- 1
-
Bootstrapped ring oscillator with propagation delay time below 1.0 nsec/stage by standard 0.5µm bottom-gate amorphous Ga2O3-In2O3-ZnO TFT technologyHuaxiang Yin, / Sunil Kim, / Chang Jung Kim, / Jae Chul Park, / Ihun Song, / Sang-Wook Kim, / Sung-Hoon Lee, / Youngsoo Park, et al. | 2008
- 1
-
Nanowire batteries for next generation electronicsChan, Candace K. / Connor, Stephen T. / Yang, Yuan / Hsu, Ching-Mei / Huggins, Robert A. / Cui, Yi et al. | 2008
- 1
-
The quantum capacitance limit of high-speed, low-power InSb nanowire field effect transistorsAbul Khayer, M. / Lake, Roger K. et al. | 2008
- 1
-
Characterization and modelling of low-frequency noise in PCM devicesFantini, P. / Betti Beneventi, G. / Calderoni, A. / Larcher, L. / Pavan, P. / Pellizzer, F. et al. | 2008
- 1
-
Energy band engineered unified-RAM (URAM) for multi-functioning 1T-DRAM and NVMJin-Woo Han, / Seong-Wan Ryu, / Sungho Kim, / Chung-Jin Kim, / Jae-Hyuk Ahn, / Sung-Jin Choi, / Kyu Jin Choi, / Byung Jin Cho, / Jin Soo Kim, / Kwang Hee Kim, et al. | 2008
- 1
-
A 36×48mm2 48M-pixel CCD imager for professional DSC applicationsManoury, Erik-Jan P. / Klaassens, Wilco / van Kuijk, Harry C. / Meessen, Louis H. / Kleimann, Agnes C. / Bogaart, Erik W. / Peters, 1Inge M. / Stoldt, Holger / Koyuncu, Mesut / Bosiers, Jan T. et al. | 2008
- 1
-
Wireless-compatible optics-free microarray imagerAnwar, Mekhail / Ayturd, Turgut / Matsudaira, Paul et al. | 2008
- 1
-
Plasma PH3-passivated high mobility inversion InGaAs MOSFET fabricated with self-aligned gate-first process and HfO2/TaN gate stackLin, Jianqiang / Lee, Sungjoo / Oh, Hoon-Jung / Yang, Weifeng / Lo, G. Q. / Kwong, D. L. / Chi, D. Z. et al. | 2008
- 1
-
Overcoming the screening-induced performance limits of nanowire biosensors: A simulation study on the effect of electro-diffusion flowLiu, Yang / Lilja, Klas / Heitzinger, Clemens / Dutton, Robert W. et al. | 2008
- 1
-
Through-silicon via and die stacking technologies for microsystems-integrationBeyne, Eric / De Moor, Piet / Ruythooren, Wouter / Labie, Riet / Jourdain, Anne / Tilmans, Harrie / Tezcan, Deniz Sabuncuoglu / Soussan, Philippe / Swinnen, Bart / Cartuyvels, Rudi et al. | 2008
- 1
-
Session 25: Special evening session the future of fabsStork, Hans et al. | 2008
- 1
-
A piezo-resistive resonant MEMS amplifiervan Beek, J.T.M. / Phan, K.L. / Verheijden, G.J.A.M. / Koops, G.E.J. / van der Avoort, C. / van Wingerden, J. / Badaroglu, D. Ernur / Bontemps, J.J.M. / Puers, R. et al. | 2008
- 1
-
Rapid design flows for advanced technology pathfindingChristie, P. / Nackaerts, A. / Kumar, A. / Terechko, A. S. / Doornbos, G. et al. | 2008
- 1
-
Can the subthreshold swing in a classical FET be lowered below 60 mV/decade?Salahuddin, Sayeef / Datta, Supriyo et al. | 2008
- 1
-
SiGe HBT module with 2.5 ps gate delayFox, A. / Heinemann, B. / Barth, R. / Bolze, D. / Drews, J. / Haak, U. / Knoll, D. / Kuck, B. / Kurps, R. / Marschmeyer, S. et al. | 2008
- 1
-
15nm-diameter 3D stacked nanowires with independent gates operation: ΦFETDupre, C. / Hubert, A. / Becu, S. / Jublot, M. / Maffini-Alvaro, V. / Vizioz, C. / Aussenac, F. / Arvet, C. / Barnola, S. / Hartmann, J.-M. et al. | 2008
- 1
-
Uniaxial strain effects on silicon nanowire pMOSFET and single-hole transistor at room temperatureJeong, YeonJoo / Chen, Jiezhi / Saraya, Takuya / Hiramoto, Toshiro et al. | 2008
- 1
-
The observation of trapping and detrapping effects in high-k gate dielectric MOSFETs by a new gate current Random Telegraph Noise (IG-RTN) approachChang, C. M. / Chung, Steve S. / Hsieh, Y. S. / Cheng, L. W. / Tsai, C. T. / Ma, G. H. / Chien, S. C. / Sun, S. W. et al. | 2008
- 1
-
Stackable memory of 3D chip integration for mobile applicationsGu, S. Q. / Marchal, P. / Facchini, M. / Wang, F. / Suh, M. / Lisk, D. / Nowak, M. et al. | 2008
- 1
-
Sub-50nm DG-TFT-SONOS - the ideal Flash memory for monolithic 3-D integrationWalker, Andrew J. et al. | 2008
- 1
-
Session 35: CMOS devices and technology - alternative MOSFET device architectures and materialsClerc, Raphael / Kiyotaka Imai, et al. | 2008
- 1
-
Electron transport in Gate-All-Around uniaxial tensile strained-Si nanowire n-MOSFETsHashemi, Pouya / Gomez, Leonardo / Canonico, Michael / Hoyt, Judy L. et al. | 2008
- 1
-
Session 36: Modeling and simulation enhanced mobility and III-V devicesFischetti, Massimo / Oldiges, Phil et al. | 2008
- 1
-
IEDM luncheon| 2008
- 1
-
Conference highlights| 2008
- 1
-
IEDM executive committee| 2008
- 1
-
Manipulating interface dipoles of opposing polarity for work function engineering within a single metal gate stackLim, Andy Eu-Jin / Jian Hou, / Dim-Lee Kwong, / Yee-Chia Yeo, et al. | 2008
- 1
-
Session 3: CMOS devices and technology — advanced transport enhancement| 2008
- 1
-
Device and reliability improvement of HfSiON+LaOx/metal gate stacks for 22nm node applicationHuang, J. / Kirsch, P. D. / Heh, D. / Kang, C. Y. / Bersuker, G. / Hussain, M. / Majhi, P. / Sivasubramani, P. / Gilmer, D. C. / Goel, N. et al. | 2008
- 1
-
Systematic Study of Vth controllability using ALD-Y2O3, La2O3, and MgO2 layers with HfSiON/metal gate first n-MOSFETs for hp 32 nm bulk devicesKamiyama, Satoshi / Ishikawa, Dai / Kurosawa, Etsuo / Nakata, Hiroyuki / Kitajima, Masashi / Ootuka, Minoru / Aoyama, Takayuki / Nara, Yasuo / Ohji, Yuzuru et al. | 2008
- 1
-
Session 4: Displays, sensors, and MEMS — thin-film devices and memoryMutsuko Hatano, / Redinger, David et al. | 2008
- 1
-
A comprehensive study of flicker noise in plasma nitrided SiON p-MOSFETs: process dependence of pre-existing and NBTI stress generated trap distribution profilesKapila, G. / Goyal, N. / Maheta, V. D. / Olsen, C. / Ahmed, K. / Mahapatra, S. et al. | 2008
- 1
-
Comprehensive study on vth variability in silicon on Thin BOX (SOTB) CMOS with small random-dopant fluctuation: Finding a way to further reduce variationNobuyuki Sugii, / Ryuta Tsuchiya, / Takashi Ishigaki, / Yusuke Morita, / Hiroyuki Yoshimoto, / Kazuyoshi Torii, / Shin'ichiro Kimura, et al. | 2008
- 1
-
MOSFET performance scaling: Limitations and future optionsAntoniadis, Dimitri A. / Khakifirooz, Ali et al. | 2008
- 1
-
Highly reliable TaOx ReRAM and direct evidence of redox reaction mechanismWei, Z. / Kanzawa, Y. / Arita, K. / Katoh, Y. / Kawai, K. / Muraoka, S. / Mitani, S. / Fujii, S. / Katayama, K. / Iijima, M. et al. | 2008
- 1
-
A statistical study of magnetic tunnel junctions for high-density spin torque transfer-MRAM (STT-MRAM)Beach, R. / Min, T. / Horng, C. / Chen, Q. / Sherman, P. / Le, S. / Young, S. / Yang, K. / Yu, H. / Lu, X. et al. | 2008
- 1
-
Silicon nanowires for bioadhesive drug deliveryFischer, Kathleen / Tao, Sarah / Daniels, Hugh / Li, Esther / Desai, Tejal et al. | 2008
- 1
-
A novel method for evaluating electron/hole mismatch in scaled split-gate SONOS memoriesTsuji, Yukihide / Terai, Masayuki / Fujieda, Shinji / Toshiyuki Syo, / Tomoya Saito, / Ando, Koich et al. | 2008
- 1
-
Addressing the gate stack challenge for high mobility InxGa1-xAs channels for NFETsGoel, N. / Heh, D. / Koveshnikov, S. / Ok, I. / Oktyabrsky, S. / Tokranov, V. / Kambhampatic, R. / Yakimov, M. / Sun, Y. / Pianetta, P. et al. | 2008
- 1
-
Approaching fermi level unpinning in Oxide-In0.2Ga0.8AsChiang, T. H. / Lee, W. C. / Lin, T. D. / Lin, D. / Shiu, K. H. / Kwo, J. / Wang, W. E. / Tsai, W. / Hong1, M. et al. | 2008
- 1
-
Low temperature (≤ 380°C) and high performance Ge CMOS technology with novel source/drain by metal-induced dopants activation and high-k/metal gate stack for monolithic 3D integrationPark, Jin-Hong / Tada, Munehiro / Kuzum, Duygu / Kapur, Pawan / Yu, Hyun-Yong / Philip Wong, H-.S. / Saraswat, Krishna C. et al. | 2008
- 1
-
Fluorinated HfO2 gate dielectrics engineering for CMOS by pre- and post-CF4 plasma passivationWu, Woei-Cherng / Lai, Chao-Sung / Lee, Shih-Ching / Ma, Ming-Wen / Chao, Tien-Sheng / Wang, Jer-Chyi / Hsu, Chih-Wei / Chou, Pai-Chi / Chen, Jian-Hao / Kao, Kuo-Hsing et al. | 2008
- 1
-
Design and use of tweakable devices for future logic implementationGupta, Puneet et al. | 2008
- 1
-
A 300-mm wafer-level three-dimensional integration scheme using tungsten through-silicon via and hybrid Cu-adhesive bondingLiu, F. / Yu, R. R. / Young, A. M. / Doyle, J. P. / Wang, X. / Shi, L. / Chen, K.-N. / Li, X. / Dipaola, D. A. / Brown, D. et al. | 2008
- 1
-
3D stacked IC demonstration using a through Silicon Via First approachVan Olmen, J. / Mercha, A. / Katti, G. / Huyghebaert, C. / Van Aelst, J. / Seppala, E. / Chao, Zhao / Armini, S. / Vaes, J. / Teixeira, R. Cotrin et al. | 2008
- 1
-
Characterization of metal-gate FinFET variability based on measurements and compact model analysesO'uchi, S. / Matsukawa, T. / Nakagawa, T. / Endo, K. / Liu, Y.X. / Sekigawa, T. / Tsukada, J. / Ishikawa, Y. / Yamauchi, H. / Ishii, K. et al. | 2008
- 1
-
Novel Si-based nanowire devices: Will they serve ultimate MOSFETs scaling or ultimate hybrid integration?Ernst, T. / Duraffourg, L. / Dupre, C. / Bernard, E. / Andreucci, P. / Becu, S. / Ollier, E. / Hubert, A. / Halte, C. / Buckley, J. et al. | 2008
- 1
-
Experimental study on quasi-ballistic transport in silicon nanowire transistors and the impact of self-heating effectsWang, Runsheng / Zhuge, Jing / Liu, Changze / Huang, Ru / Kim, D.-W. / Park, Donggun / Wang, Yangyuan et al. | 2008
- 1
-
6F2 buried wordline DRAM cell for 40nm and beyondSchloesser, T. / Jakubowski, F. / Kluge, J. v. / Graham, A. / Slesazeck, S. / Popp, M. / Baars, P. / Muemmler, K. / Moll, P. / Wilson, K. et al. | 2008
- 1
-
Nanowire FETs for low power CMOS applications featuring novel gate-all-around single metal FUSI gates with dual Φm and VT tune-abilityJiang, Y. / Liow, T. Y. / Singh, N. / Tan, L.H. / Lo, G. Q. / Chan, D. S. H. / Kwong, D. L. et al. | 2008
- 1
-
NEGF analysis of InGaAs Schottky barrier double gate MOSFETsPal, Himadri S. / Low, Tony / Lundstrom, Mark S. et al. | 2008
- 1
-
Conformal doping for FinFETs and precise controllable shallow doping for planar FET manufacturing by a novel B2H6/Helium Self-Regulatory Plasma Doping processSasaki, Y. / Okashita, K. / Nakamoto, K. / Kitaoka, T. / Mizuno, B. / Ogura, M. et al. | 2008
- 1
-
Shot noise in quasi one-dimensional FETsBetti, A. / Fiori, G. / Iannaccone, G. et al. | 2008
- 1
-
Physical model of the PBTI and TDDB of la incorporated HfSiON gate dielectrics with pre-existing and stress-induced defectsSato, M. / Umezawa, N. / Shimokawa, J. / Arimura, H. / Sugino, S. / Tachibana, A. / Nakamura, M. / Mise, N. / Kamiyama, S. / Morooka, T. et al. | 2008
- 1
-
High voltage devices integration into advanced CMOS technologiesBianchi, R.A. / Monsieur, F. / Blanchet, F. / Raynaud, C. / Noblanc, O. et al. | 2008
- 1
-
Demonstration of subthrehold swing smaller than 60mV/decade in Fe-FET with P(VDF-TrFE)/SiO2 gate stackSalvatore, Giovanni A. / Bouvet, Didier / Ionescu, Adrian Mihai et al. | 2008
- 1
-
High speed Flash Memory and 1T-DRAM on dopant segregated Schottky barrier (DSSB) FinFET SONOS device for multi-functional SoC applicationsChoi, Sung-Jin / Jin-Woo Han, / Sungho Kim, / Dong-Hyun Kim, / Moon-Gyu Jang, / Jong-Heon Yang, / Jin Soo Kim, / Kwang Hee Kim, / Gi Sung Lee, / Jae Sub Oh, et al. | 2008
- 1
-
Scaling of 32nm low power SRAM with high-K metal gateYang, H. S. / Wong, R. / Hasumi, R. / Gao, Y. / Kim, N.S. / Lee, D. H. / Badrudduza, S. / Nair, D. / Ostermayr, M. / Kang, H. et al. | 2008
- 1
-
Impact of Strain on ESD Robustness of FinFET DevicesGriffoni, A. / Thijs, S. / Russ, C. / Tremouilles, D. / Scholz, M. / Linten, D. / Collaert, N. / Rooyackers, R. / Duvvury, C. / Gossner, H. et al. | 2008
- 1
-
Strain mapping technique for performance improvement of strained MOSFETs with scanning transmission electron microscopyNakanishi, N. / Kudo, S. / Kawakami, M. / Hayashi, T. / Oda, H. / Uchida, T. / Miyagawa, Y. / Asai, K. / Ohnishi, K. / Hattori, N. et al. | 2008
- 1
-
Development of plasmonics-active SERS substrates on a wafer scale for chemical and biological sensing applicationsDhawan, Anuj / Yan Du, / Hsinneng Wang, / Leonard, Donovon / Misra, Veena / Ozturk, Mehmet / Gerhold, Michael / Vo-Dinh, Tuan et al. | 2008
- 1
-
Mobility extraction and quantum capacitance impact in high performance graphene field-effect transistor devicesChen, Zhihong / Appenzeller, Joerg et al. | 2008
- 1
-
A cost-conscious 32nm CMOS platform technology with advanced single exposure lithography and gate-first metal gate/high-k processHasegawa, S. / Kitamura, Y. / Takahata, K. / Okamoto, H. / Hirai, T. / Miyashita, K. / Ishida, T. / Aizawa, H. / Aota, S. / Azuma, A. et al. | 2008
- 1
-
Exploring the limits and practicality of Q-based temperature compensation for silicon resonatorsSalvia, J. / Messana, M. / Ohline, M. / Hopcroft, M.A. / Melamud, R. / Chandorkar, S. / Lee, H.K. / Bahl, G. / Murmann, B. / Kenny, T.W. et al. | 2008
- 1
-
Transport-based dopant metrology in advanced FinFETsLansbergen, Gabriel P. / Rahman, Rajib / Wellard, Cameron J. / Caro, Jaap / Collaert, Nadine / Biesemans, Serge / Klimeck, Gerhard / Hollenberg, Lloyd C.L. / Rogge, Sven et al. | 2008
- 1
-
Electron mobility in multiple silicon nanowires GAA nMOSFETs on (110) and (100) SOI at room and low temperatureChen, Jiezhi / Saraya, Takuya / Hiramoto, Toshiro et al. | 2008
- 1
-
SiGe HBT module with 2.5 ps gate delkayFox, A. / Heinemann, B. / Barth, R. / Bolze, D. / Drews, J. / Haak, U. / Knoll, D. / Kuck, B. / Kurps, R. / Marschmeyer, S. et al. | 2008
- 1
-
Intrinsic origin of electric dipoles formed at high-k/SiO2 interfaceKoji Kita, / Akira Toriumi, et al. | 2008
- 1
-
High-performance nMOSFET with in-situ phosphorus-doped embedded Si:C (ISPD eSi:C) source-drain stressorYang, B. / Takalkar, R. / Ren, Z. / Black, L. / Dube, A. / Weijtmans, J.W. / Li, J. / Johnson, J.B. / Faltermeier, J. / Madan, A. et al. | 2008
- 1
-
High performance oxide thin film transistors with double active layersSun Il Kim, / Chang Jung Kim, / Jae Chul Park, / Ihun Song, / Sang Wook Kim, / Huaxiang Yin, / Eunha Lee, / Jae Chul Lee, / Youngsoo Park, et al. | 2008
- 1
-
Universality of interface trap generation and its impact on ID degradation in strained/unstrained PMOS transistors under NBTI stressIslam, A.E. / Lee, J. H. / Wu, W.-H. / Oates, A. / Alam, M.A. et al. | 2008
- 1
-
Session 6: Quantum, power, and compound semiconductors devices - high-voltage power devicesPalacios, Tomas / Udrea, Florin et al. | 2008
- 1
-
A unified 7.5nm dash-type confined cell for high performance PRAM deviceIm, D.H. / Lee, J. I. / Cho, S.L. / An, H.G. / Kim, D.H. / Kim, I.S. / Park, H. / Ahn, D.H. / Horii, H. / Park, S.O. et al. | 2008
- 1
-
Setting up 3D sequential integration for back-illuminated CMOS image sensors with highly miniaturized pixels with low temperature fully depleted SOI transistorsCoudrain, Perceval / Batude, Perrine / Gagnard, Xavier / Leyris, Cedric / Ricq, Stephane / Vinet, Maud / Pouydebasque, Arnaud / Moussy, Norbert / Cazaux, Yvon / Giffard, Benoit et al. | 2008
- 1
-
Session 17: Special session - issues at the confluence of technology and designSubramanian, Vivek et al. | 2008
- 1
-
A CMOS-compatible, high RF power, Asymmetric-LDD MOSFET with excellent linearityChang, T. / Kao, H. L. / Chen, Y. J. / Liu, S. L. / McAlister, S. P. / Chin, Albert et al. | 2008
- 1
-
Advanced 2D/3D simulations for laser annealed device using an atomistic kinetic Monte Carlo approach and Scanning Spreading Resistance Microscopy (SSRM)Noda, T. / Eyben, P. / Vandervorst, W. / Vrancken, C. / Rosseel, E. / Ortolland, C. / Clarysse, T. / Goossens, J. / De Keersgieter, A. / Felch, S. et al. | 2008
- 1
-
Oxide-based RRAM switching mechanism: A new ion-transport-recombination modelGao, B. / Yu, S. / Xu, N. / Liu, L.F. / Sun, B. / Liu, X.Y. / Han, R.Q. / Kang, J.F. / Yu, B. / Wang, Y.Y. et al. | 2008
- 1
-
Experimental and theoretical analysis of factors causing asymmetrical temperature dependence of Vt in High-k Metal gate CMOS with capped High-k techniquesIijima, Ryosuke / Takayanagi, Mariko et al. | 2008
- 1
-
22 nm technology compatible fully functional 0.1 μm2 6T-SRAM cellHaran, B. S. / Kumar, A. / Adam, L. / Chang, J. / Basker, V. / Kanakasabapathy, S. / Horak, D. / Fan, S. / Chen, J. / Faltermeier, J. et al. | 2008
- 1
-
Session 28: Displays, sensors, and MEMS - MEMS actuators and resonatorsvan Beek, Joost / Koichi Ikeda, et al. | 2008
- 1
-
A 32nm logic technology featuring 2nd-generation high-k + metal-gate transistors, enhanced channel strain and 0.171μm2 SRAM cell size in a 291Mb arrayNatarajan, S. / Armstrong, M. / Bost, M. / Brain, R. / Brazier, M. / Chang, C.-H. / Chikarmane, V. / Childs, M. / Deshpande, H. / Dev, K. et al. | 2008
- 1
-
Random Telegraph Noise in n-type and p-type silicon nanowire transistorsYang, Seungwon / Yeo, Kyoung Hwan / Kim, Dong-Won / Seo, Kang-ill / Park, Donggun / Jin, Gyoyoung / Oh, KyungSeok / Shin, Hyungcheol et al. | 2008
- 1
-
Autonomous refresh of floating body cell (FBC)Ohsawa, Takashi / Fukuda, Ryo / Higashi, Tomoki / Fujita, Katsuyuki / Matsuoka, Fumiyoshi / Shino, Tomoaki / Furuhashi, Hironobu / Minami, Yoshihiro / Nakajima, Hiroomi / Hamamoto, Takeshi et al. | 2008
- 1
-
Disturbless flash memory due to high boost efficiency on BiCS structure and optimal memory film stack for ultra high density storage deviceYosuke Komori, / Masaru Kido, / Masaru Kito, / Ryota Katsumata, / Yoshiaki Fukuzumi, / Hiroyasu Tanaka, / Yuzo Nagata, / Megumi Ishiduki, / Hideaki Aochi, / Akihiro Nitayama, et al. | 2008
- 1
-
Enhancing SRAM cell performance by using independent double-gate FinFETEndo, Kazuhiko / O'uchi, Shin-ichi / Yuki Ishikawa, / Liu, Yongxun / Takashi Matsukawa, / Kunihiro Sakamoto, / Junichi Tsukada, / Kenichi Ishii, / Hiromi Yamauchi, / Eiichi Suzuki, et al. | 2008
- 1
-
Physics-based compact model of III-V heterostructure FETs for digital logic applicationsOh, Saeroonter / Wong, H.-S. Philip et al. | 2008
- 1
-
Deterministic multisubband device simulations for strained double gate PMOSFETs including magnetotransportPham, A. T. / Jungemann, C. / Meinerzhagen, B. et al. | 2008
- 1
-
Physical and electrical analysis of the stress memorization technique (SMT) using poly-gates and its optimization for beyond 45-nm high-performance applicationsMiyashita, T. / Owada, T. / Hatada, A. / Hayami, Y. / Ookoshi, K. / Mori, T. / Kurata, H. / Futatsugi, T. et al. | 2008
- 1
-
Experimental investigation on the origin of direction dependence of Si (110) hole mobility utilizing ultra-thin body pMOSFETsShimizu, Ken / Saraya, Takuya / Hiramoto, Toshiro et al. | 2008
- 1
-
Session 11: Displays, sensors, and MEMS - imaging technologiesRoy, Francois / Fujita, Hiroaki et al. | 2008
- 1
-
Session 12: Memory technology - resistive memory and magnetic memoryTzu-Ning Fang, / Tsugutoshi Sakamoto, et al. | 2008
- 1
-
Statistical investigation of the floating gate memory cell leakage through high-k interpoly dielectrics and its impact on scalability and reliabilityGovoreanu, B. / Degraeve, R. / Van Houdt, J. / Jurczak, M. et al. | 2008
- 1
-
Session 16: Process technology - Ge-Channel CMOS and advanced gate stacksMurthy, Anand et al. | 2008
- 1
-
Taking the next step in moore's law: Designs turn to enable next technology nodeStrojwas, Andrzej J. et al. | 2008
- 1
-
Circuit-level requirements for MOSFET-replacement devicesHei Kam, / Tsu-Jae King-Liu, / Alon, Elad / Horowitz, Mark et al. | 2008
- 1
-
Trimming of IC timing and delay by backside FIB processing - comparison of conventional and strained technologiesSchlangen, Rudolf / Leihkauf, Rainer / Lundquist, Ted / Egger, Peter / Kerst, Uwe / Boit, Christian et al. | 2008
- 1
-
Edge chemistry engineering of graphene nanoribbon transistors: A computational studyOuyang, Yijian / Yoon, Youngki / Guo, Jing et al. | 2008
- 1
-
Session 23: CMOS devices and technology - characteristics of mobility and threshold voltage in advanced devicesChih-Sheng Chang, / Hyungcheol Shin, et al. | 2008
- 1
-
A 145MHz low phase-noise capacitive silicon micromechanical oscillatorLavasani, Hossein Miri / Samarao, Ashwin K. / Casinovi, Giorgio / Ayazi, Farrokh et al. | 2008
- 1
-
Sub-20 nm gate length FinFET design: Can high-κ spacers make a difference?Sachid, Angada B. / Francis, Roswald / Baghini, Maryam Shojaei / Sharma, Dinesh K. / Bach, Karl-Heinz / Mahnkopf, Reinhard / Rao, V. Ramgopal et al. | 2008
- 1
-
30 nm E-mode InAs PHEMTs for THz and future logic applicationsKim, Dae-Hyun / del Alamo, Jesus A. et al. | 2008
- 1
-
High-performance 40nm gate length InSb p-channel compressively strained quantum well field effect transistors for low-power (VCC=0.5V) logic applicationsRadosavljevic, M. / Ashley, T. / Andreev, A. / Coomber, S. D. / Dewey, G. / Emeny, M. T. / Fearn, M. / Hayes, D. G. / Hilton, K. P. / Hudait, M. K. et al. | 2008
- 1
-
Breakdown in the metal/high-k gate stack: Identifying the “weak link” in the multilayer dielectricBersuker, G. / Heh, D. / Young, C. / Park, H. / Khanal, P. / Larcher, L. / Padovani, A. / Lenahan, P. / Ryan, J. / Lee, B. H. et al. | 2008
- 1
-
A highly punchthrough-immune operation method for an ultra-short-channel hot-carrier-injection type non-volatile memory cellWen-Jer Tsai, / Ou, T.F. / Huang, J.S. / Cheng, C.H. / Lu, Chun-Yuan / Wang, T. / Chen, K.F. / Han, T.T. / Lu, T.C. / Chen, K.C. et al. | 2008
- 1
-
High piezoelectric properties in LiNbO3 transferred layer by the Smart Cut(TM) technology for ultra wide band BAW filter applicationsMoulet, J.S. / Pijolat, M. / Dechamp, J. / Mazen, F. / Tauzin, A. / Rieutord, F. / Reinhardt, A. / Defay, E. / Deguet, C. / Ghyselen, B. et al. | 2008