8B-11-3 16:25 The Novel Deflector for Multi Arrayed Microcolumn Using MEMS Technology (English)
- New search for: Kim, H.
- New search for: Han, C.
- New search for: Chun, K.
- New search for: Japan Society of Applied Physics
- New search for: Kim, H.
- New search for: Han, C.
- New search for: Chun, K.
- New search for: Japan Society of Applied Physics
In:
Microprocesses and nanotechnology; Digest of papers
;
330-331
;
2002
-
ISBN:
- Conference paper / Print
-
Title:8B-11-3 16:25 The Novel Deflector for Multi Arrayed Microcolumn Using MEMS Technology
-
Contributors:
-
Conference:International conference, Microprocesses and nanotechnology; Digest of papers ; 2002 ; Tokyo
-
Published in:
-
Publisher:
- New search for: Japan Society of Applied Physics
-
Publication date:2002-01-01
-
Size:2 pages
-
Remarks:IEEE cat no 02EX589
-
ISBN:
-
Type of media:Conference paper
-
Type of material:Print
-
Language:English
-
Keywords:
-
Source:
© Metadata Copyright the British Library Board and other contributors. All rights reserved.
Table of contents conference proceedings
The tables of contents are generated automatically and are based on the data records of the individual contributions available in the index of the TIB portal. The display of the Tables of Contents may therefore be incomplete.
- 2
-
Challenge for future semiconductor developmentHirose, M. et al. | 2002
- 2
-
6P-1-1 10:30 Challenge for Future Semiconductor Development (Plenary)Hirose, M. / Japan Society of Applied Physics et al. | 2002
- 4
-
6P-1-2 11:15 Nano Imprint Lithography - A Key "Engine" to Nanotechnology Research and Commercialization Today (Plenary)Chou, S. Y. / Japan Society of Applied Physics et al. | 2002
- 4
-
Nanoimprint lithography-A key "engine" to nanotechnology research and commercialization todayChou, S.Y. et al. | 2002
- 6
-
Recent advances in near-field optical microscopySaiki, T. et al. | 2002
- 6
-
6A-2-1 13:30 Recent Advances of Near-Field Optical Microscopy (Invited)Saiki, T. / Japan Society of Applied Physics et al. | 2002
- 8
-
6A-2-2 14:00 Extraction of Inner Layer from Multiwall Carbon Nanotubes for Scanning Probe Microscopy TipAkita, S. / Nakayama, Y. / Japan Society of Applied Physics et al. | 2002
- 8
-
Extraction of inner layer from multiwall carbon nanotubes for scanning probe microscope tipAkita, S. / Nakayama, Y. et al. | 2002
- 10
-
New atomic force microscope method for critical dimension metrology with carbon nanotubeMorimoto, T. / Shinaki, T. / Kembo, Y. / Hosaka, S. / Nakayama, Y. et al. | 2002
- 10
-
6A-2-3 14:20 New Atomic Force Microscope Method for Critical Dimension Metrology with Carbon NanotubeMorimoto, T. / Shinaki, T. / Kembo, Y. / Hosaka, S. / Nakayama, Y. / Japan Society of Applied Physics et al. | 2002
- 12
-
6A-2-4 14:40 Line Edge Roughness Characterization Using Nanotubed-AFM and SEMShin, J. / Cerrina, F. / Japan Society of Applied Physics et al. | 2002
- 12
-
Line edge roughness characterization using nanotubed-AFM and SEMShin, J. / Cerrina, F. et al. | 2002
- 14
-
OPC feature extraction method from reticle SEM imageIkeda, T. / Miyano, Y. / Kotani, T. / Shibata, T. / Ikenaga, O. et al. | 2002
- 14
-
6A-2-5 15:00 OPC Feature Extraction Method from Reticle SEM ImageIkeda, T. / Miyano, Y. / Kotani, T. / Shibata, T. / Ikenaga, O. / Japan Society of Applied Physics et al. | 2002
- 16
-
6A-2-6 15:20 Infrared Reflection Absorption Spectroscopy Using CoSi~2 Buried Metal Layer Substrate Made by Wafer-BondingYamamura, S. / Yamauchi, S. / Watanabe, S. / Tabe, M. / Kasai, T. / Nonogaki, Y. / Urisu, T. / Japan Society of Applied Physics et al. | 2002
- 16
-
Infrared reflection absorption spectroscopy using CoSi/sub 2/ buried metal layer substrate made by wafer-bondingYamamura, S. / Yamauchi, S. / Watanabe, S. / Tabe, M. / Kasai, T. / Nonogaki, Y. / Urisu, T. et al. | 2002
- 18
-
6B-2-1 13:30 Nanoimprint Lithography: Opportunities and Applications (Invited)Montelius, L. / Japan Society of Applied Physics et al. | 2002
- 18
-
Nanoimprint lithography: opportunities and applicationsMontelius, L. et al. | 2002
- 20
-
Nano-lithography using self-assembled block-copolymer for patterned mediaAsakawa, K. / Hiraoka, T. / Hieda, H. / Sakurai, M. / Kamata, Y. / Naito, K. et al. | 2002
- 20
-
6B-2-2 14:00 Nano Lithography Using Self-Assembled Block-Copolymer for Patterned Media (Invited)Asakawa, K. / Hiraoka, T. / Hieda, H. / Sakurai, M. / Kamata, Y. / Naito, K. / Japan Society of Applied Physics et al. | 2002
- 22
-
6B-2-3 14:30 Pattern Defects of Nanoimprint in Atmospheric ConditionsHiroshima, H. / Komuro, M. / Kasahara, N. / Kurashima, Y. / Taniguchi, J. / Miyamoto, I. / Japan Society of Applied Physics et al. | 2002
- 22
-
Pattern defects of nanoimprint in atmospheric conditionsHiroshima, H. / Komuro, M. / Kasahara, N. / Kurashima, Y. / Taniguchi, J. / Miyamoto, I. et al. | 2002
- 24
-
New fine metal pattern fabrication method by transplantationKawata, H. / Ueno, T. / Yasuda, M. / Hirai, A. et al. | 2002
- 24
-
6B-2-4 14:50 New Fine Metal Pattern Fabrication Method by TransplantationKawata, H. / Ueno, T. / Yasuda, M. / Hirai, Y. / Japan Society of Applied Physics et al. | 2002
- 26
-
6B-2-5 15:10 High Aspect Pattern Fabrication by Nano Imprint Lithography Using Fine Diamond MoldHirai, Y. / Yoshida, S. / Takagi, S. / Tanaka, Y. / Yabe, H. / Sasaki, K. / Sumitani, H. / Japan Society of Applied Physics et al. | 2002
- 26
-
High aspect pattern fabrication by nano imprint lithography using fine diamond moldHirai, Y. / Yoshida, S. / Takagi, S. / Tanaka, Y. / Yabe, H. / Sasaki, K. / Sumitani, H. et al. | 2002
- 28
-
The motivation and challenges of direct writing on semiconductor wafersLin, B.J. et al. | 2002
- 28
-
6A-3-1 16:05 The Motivation and Challenges of Direct Writing on Semiconductor Wafers (Invited)Lin, B. / Japan Society of Applied Physics et al. | 2002
- 30
-
Influence of image-force from mask surface on electrons at low energy electron beam lithographyOchiai, N. / Niu, H. / Kotera, M. et al. | 2002
- 30
-
6A-3-2 16:35 Influence of Image-Force from Mask Surface on Electrons at Low Energy Electron Beam LithographyOchiai, N. / Niu, H. / Kotera, M. / Japan Society of Applied Physics et al. | 2002
- 32
-
6A-3-3 16:55 Edge-Enhancement Writing for E-Beam NanolithographyYamazaki, K. / Yamaguchi, T. / Namatsu, H. / Japan Society of Applied Physics et al. | 2002
- 32
-
Edge-enhancement writing for E-beam nanolithographyYamazaki, K. / Yamaguchi, T. / Namatsu, H. et al. | 2002
- 34
-
6A-3-4 17:15 Electron Energy Deposition in Photoresist: A Local ViewHan, G. / Khan, M. / Fang, Y. / Cerrina, F. / Japan Society of Applied Physics et al. | 2002
- 34
-
Electron energy deposition in photoresist: a local viewHan, G. / Khan, M. / Fang, Y. / Cerrina, F. et al. | 2002
- 36
-
Freestanding carbon nanotube bridge grown by hot-filament chemical vapor depositionMiyashita, H. / Ono, T. / Esashi, M. et al. | 2002
- 36
-
6B-3-1 15:55 Freestanding Carbon Nanotube Bridge Grown by Hot-Filament Chemical Vapor DepositionMiyashita, H. / Ono, T. / Esashi, M. / Japan Society of Applied Physics et al. | 2002
- 38
-
Position-controlled carbon nanotube FETs fabricated by CVD synthesis using patterned metal catalystIwatsuki, S. / Ohno, Y. / Kishimoto, S. / Maezawa, K. / Mizutani, T. / Hiraoka, T. / Okazaki, T. / Shinohara, H. et al. | 2002
- 38
-
6B-3-2 16:15 Position-Controlled Carbon Nanotube FETs Fabricated by CVD Synthesis Using Patterned Metal CatalystIwatsuki, S. / Ohno, Y. / Kishimoto, S. / Maezawa, K. / Mizutani, T. / Hiraoka, T. / Okazaki, T. / Shinohara, H. / Japan Society of Applied Physics et al. | 2002
- 40
-
6B-3-3 16:35 Solid Phase Graphitization of Amorphous Carbon Pillars Grown by FIB-CVDFujita, J. / Ishida, M. / Ichihashi, T. / Ochiai, Y. / Kaito, T. / Matsui, S. / Japan Society of Applied Physics et al. | 2002
- 40
-
Solid phase graphitization of amorphous carbon pillars grown by FIB-CVDFujita, J. / Ishida, M. / Ichihashi, T. / Ochiai, Y. / Kaito, T. / Matsui, S. et al. | 2002
- 42
-
Freestanding tungsten wires for BM-HETTakeuchi, K. / Yamamoto, R. / Maeda, H. / Miyamoto, Y. / Furuya, K. et al. | 2002
- 42
-
6B-3-4 16:55 Freestanding Tungsten Wires for BM-HETTakeuchi, K. / Yamamoto, R. / Maeda, H. / Miyamoto, Y. / Furuya, K. / Japan Society of Applied Physics et al. | 2002
- 44
-
Single phosphorus ions implantation into prefabricated nanometre cells of silicon devices for quantum qubits fabricationChangyi Yang, / Jamieson, D.N. / Pakes, C. / Prawer, S. / Dzurak, A. / Stanley, F. / Clark, R.G. / Macks, L. / George, D. / Spizziri, P. et al. | 2002
- 44
-
6B-3-5 17:15 Single Phosphorus Ions Implantation into Prefabricated Nanometre Cells of Silicon Devices for Quantum Qubits FabricationYang, C. / Jamieson, D. N. / Pakes, C. / Prawer, S. / Dzurak, A. / Stanley, F. / Clark, R. G. / Macks, L. / George, D. / Spizziri, P. et al. | 2002
- 46
-
Fabrication of diffractive optical elements for photonic applications by nanolithographyDi Fabrizio, E. / Prasciolu, M. / Kumar, R. / Cabrini, S. / Businaro, L. / Cojoc, D. / Liberae, C. / Degiorgio, V. / Gigli, G. / Pisignano, D. et al. | 2002
- 46
-
6B-3-6 17:35 Fabrication of Diffractive Optical Elements for Photonic Applications by NanolithographyFabrizio, E. D. / Prasciolu, M. / Kumar, R. / Cabrini, S. / Businaro, L. / Cojoc, D. / Liberale, C. / Degiorgio, V. / Gigli, G. / Pisignano, D. et al. | 2002
- 48
-
6B-3-7 17:55 Fabrication and I-V Characterization of Metal/Sam/Metal DevicesMajima, Y. / Sasao, K. / Azuma, Y. / Miyamoto, Y. / Japan Society of Applied Physics et al. | 2002
- 48
-
Fabrication and I-V characterization of metal/SAM/metal devicesMajima, Y. / Sasao, K. / Azuma, Y. / Miyamoto, Y. et al. | 2002
- 50
-
7A-4-1 9:00 Stencil Mask Technology for Electron Beam Lithography (Invited)Amemiya, I. / Yamashita, H. / Nakatsuka, S. / Sakurai, T. / Kimura, I. / Tsukahara, M. / Nagarekawa, O. / Japan Society of Applied Physics et al. | 2002
- 50
-
Stencil mask technology for electron-beam projection lithographyAmemiya, I. / Yamashita, H. / Nakatsuka, S. / Sakurai, T. / Kimura, I. / Tsukahara, M. / Nagarekawa, O. et al. | 2002
- 52
-
7A-4-2 9:30 Electron Optics Properties on EB StepperYamada, A. / Okamoto, K. / Umemoto, T. / Shimizu, H. / Takahashi, S. / Ikeda, J. / Kojima, S. / Yahiro, T. / Shimizu, S. / Fujiwara, T. et al. | 2002
- 52
-
Electron optics properties on EB stepperYamada, A. / Okamoto, K. / Umemoto, T. / Shimizu, H. / Takahashi, S. / Ikeda, J. / Kojima, S. / Yahiro, T. / Shimizu, S. / Fujiwara, T. et al. | 2002
- 54
-
7A-4-3 9:50 High-Speed Proximity Effect Correction System for Electron-Beam Projection Lithography by Cluster ProcessingOgino, K. / Hoshino, H. / Machida, Y. / Osawa, M. / Arimoto, H. / Takahashi, K. / Yamashita, H. / Japan Society of Applied Physics et al. | 2002
- 54
-
High-speed proximity effect correction system for electron-beam projection lithography by cluster processingOgino, K. / Hoshino, H. / Machida, Y. / Osawa, M. / Arimoto, H. / Takahashi, K. / Yamashita, H. et al. | 2002
- 56
-
7B-4-1 9:00 Non-Volatile Doubly Stacked Si Dot Memory (Invited)Ohba, R. / Sugiyama, N. / Uchida, K. / Koga, J. / Fujita, S. / Toriumi, T. / Japan Society of Applied Physics et al. | 2002
- 56
-
Non-volatile doubly stacked Si dot memoryOhba, R. / Sugiyama, N. / Uchida, K. / Koga, J. / Fujita, S. / Toriumi, A. et al. | 2002
- 58
-
7B-4-2 9:30 Formation of Nanometer Silicon Dots with Germanium Core by Highly-Selective Low-Pressure Chemical Vapor DepositionDarma, Y. / Murakami, H. / Miyazaki, S. / Japan Society of Applied Physics et al. | 2002
- 58
-
Formation of nanometer silicon dots with germanium core by highly-selective low-pressure chemical vapor depositionDarma, Y. / Murakami, H. / Miyazaki, S. et al. | 2002
- 60
-
Effect of structural imperfection on resonant tunneling in SiO/sub 2//Si diodesIkeda, H. / Iwasaki, M. / Ishikawa, Y. / Tabe, M. et al. | 2002
- 60
-
7B-4-3 9:50 Effect of Structural Imperfection on Resonant Tunneling in SiO~2/Si DiodesIkeda, H. / Iwasaki, M. / Ishikawa, Y. / Tabe, M. / Japan Society of Applied Physics et al. | 2002
- 62
-
7A-5-1 10:35 Multilayer Bottom Antireflective Coatings for High Numerical Aperture and Modified Illumination Exposure SystemsChen, H. L. / Fan, W. / Wang, T. J. / Ko, F. H. / Hsieh, C. I. / Japan Society of Applied Physics et al. | 2002
- 62
-
Multilayer bottom antireflective coatings for high numerical aperture and modified illumination exposure systemsChen, H.L. / Fan, W. / Wang, T.J. / Ko, F.H. / Hsieh, C.I. et al. | 2002
- 64
-
7A-5-2 10:55 Evaluation of Finished EUVL Masks Using a Mirau Interferometric MicroscopeKinoshita, H. / Haga, T. / Hamamoto, K. / Takada, S. / Kazui, N. / Kakunai, S. / Tsubakino, H. / Watanabe, T. / Japan Society of Applied Physics et al. | 2002
- 64
-
Evaluation of finished EUVL masks using a Mirau interferometric microscopeKinoshita, H. / Haga, T. / Hamamoto, K. / Takada, S. / Kazui, N. / Kakunai, S. / Tsubakino, H. / Watanabe, T. et al. | 2002
- 66
-
Optical image enhancement effect in 35 nm isolated pattern replication using diamond x-ray phase shift maskWatanabe, H. / Itoga, K. / Kise, K. / Yabe, H. / Sumitani, H. et al. | 2002
- 66
-
7A-5-3 11:15 Optical Image Enhancement Effect in 35 nm Isolated Pattern Replication Using Diamond X-Ray Phase Shift MaskWatanabe, H. / Itoga, K. / Kise, K. / Yabe, H. / Sumitani, H. / Japan Society of Applied Physics et al. | 2002
- 68
-
7B-5-1 10:35 Fabrication of Nano/Microstructures in SiO~2 and TiO~2 by Swift Ions (Invited)Awazu, K. / Fujimaki, M. / Ishii, S. / Shima, K. / Nomura, K. / Ohki, Y. / Japan Society of Applied Physics et al. | 2002
- 68
-
Fabrication of nano/microstructures in SiO/sub 2/ and TiO/sub 2/ by swift ionsAwazu, K. / Fujimaki, M. / Ishii, S. / Shima, K. / Nomura, K. / Ohki, Y. et al. | 2002
- 70
-
Electron cyclotron resonance-reactive ion etching of III-V semiconductors by cyclic injection of CH/sub 4//H/sub 2//Ar and O/sub 2/Haneji, N. / Segami, G. / Suzuki, T. / Arakawa, T. / Tada, K. / Shimogaki, Y. / Nakano, Y. et al. | 2002
- 70
-
7B-5-2 11:05 Electron Cyclotron Resonance-Reactive Ion Etching of III-V Semiconductors by Cyclic Injection of CH~4/H~2/Ar and O~2Haneji, N. / Segami, G. / Suzuki, T. / Arakawa, T. / Tada, K. / Shimogaki, Y. / Nakano, Y. / Japan Society of Applied Physics et al. | 2002
- 72
-
Transformation of dense contact holes in oxide etchingSakamori, S. / Fujiwara, N. / Miyatake, H. / Oikawa, K. / Yamanaka, M. / Sasaki, T. et al. | 2002
- 72
-
7B-5-3 11:25 Transformation of Dense Contact Holes in Oxide EtchingSakamori, S. / Fujiwara, N. / Miyatake, H. / Oikawa, K. / Yamanaka, M. / Sasaki, T. / Japan Society of Applied Physics et al. | 2002
- 74
-
7B-5-4 11:45 Isotropic/Anisotropic Selective Epitaxial Growth of Si and SiGe on LOCOS Patterned Si (100) Substrate by Cold Wall Type UHV-CVDLim, S.-H. / Song, S. / Park, T. / Lee, S. Y. / Lee, J.-H. / Yoon, E. / Japan Society of Applied Physics et al. | 2002
- 74
-
Isotropic/anisotropic selective epitaxial growth of Si and SiGe on LOCOS patterned Si (100) substrate by cold wall type UHV-CVDLim, S.-H. / Song, S. / Park, T. / Lee, S.Y. / Lee, J.-H. / Yoon, E. et al. | 2002
- 76
-
Analysis of line edge roughness using probability process model for chemically amplified resistsFukuda, H. et al. | 2002
- 76
-
7A-6-1 13:30 Analysis of Line Edge Roughness Using Probability Process Model for Chemical Amplified Resists (Invited)Fukuda, H. / Japan Society of Applied Physics et al. | 2002
- 78
-
7A-6-2 14:00 Line-Edge Roughness: Characterization and Material Origin (Invited)Yamaguchi, T. / Yamazaki, K. / Nagase, M. / Namatsu, H. / Japan Society of Applied Physics et al. | 2002
- 78
-
Line-edge roughness: characterization and material originYamaguchi, T. / Yamazaki, K. / Nagase, M. / Namatsu, H. et al. | 2002
- 80
-
7A-6-3 14:30 Characterization of Line Edge Roughness in Resist Patterns by Fourier Analysis and Auto-Correlation FunctionYamaguchi, A. / Komuro, O. / Japan Society of Applied Physics et al. | 2002
- 80
-
Characterization of line edge roughness in resist patterns by Fourier analysis and auto-correlation functionYamaguchi, A. / Komuro, O. et al. | 2002
- 82
-
Resist line edge roughness study for next generation lithography: experiment and modelingShin, J. / Ma, Y. / Cerrina, F. et al. | 2002
- 82
-
7A-6-4 14:50 Resist Line Edge Roughness Study for Next Generation Lithography: Experiment and ModelingShin, J. / Ma, Y. / Cerrina, F. / Japan Society of Applied Physics et al. | 2002
- 84
-
7A-6-5 15:10 157-nm Single Layer Resists Based on Main-Chain-Fluorinated PolymersIrie, S. / Ishikawa, S. / Yamazaki, T. / Furukawa, T. / Itani, T. / Japan Society of Applied Physics et al. | 2002
- 84
-
157-nm single layer resists based on main-chain-fluorinated polymersIrie, S. / Ishikawa, S. / Yamazaki, T. / Furukawa, T. / Itani, T. et al. | 2002
- 86
-
7B-6-1 13:30 Micro/Nano Fabrication for Surface Emitting Lasers and Optical MEMS (Invited)Koyama, F. / Japan Society of Applied Physics et al. | 2002
- 86
-
Micro/nano fabrication for surface emitting lasers and optical MEMSKoyama, F. et al. | 2002
- 88
-
7B-6-2 14:00 Synthesis of Ordered Nanoporous Carbons Using Mesoporous Template and Their Perspectives (Invited)Ryoo, R. / Joo, S. H. / Choi, M. / Japan Society of Applied Physics et al. | 2002
- 88
-
Synthesis of ordered nanoporous carbons using mesoporous template and their perspectivesRyong Ryoo, / Sang Hoon Joo, / Minkee Choi, et al. | 2002
- 90
-
NEXAFS study for optimization of hard DLC films formation with Ar cluster ion beamKitagawa, T. / Miyauchi, K. / Kanda, K. / Shimizugawa, Y. / Toyoda, N. / Tsubakino, H. / Matsui, S. / Gego, T. / Matsuo, J. / Yamada, I. et al. | 2002
- 90
-
7B-6-3 14:30 NEXAFS Study for Optimization of Hard DLC Films Formation with Ar Cluster Ion BeamKitagawa, T. / Miyauchi, K. / Kanda, K. / Shimizugawa, Y. / Toyoda, N. / Tsubakino, H. / Matsui, S. / Gego, T. / Matsuo, J. / Yamada, I. et al. | 2002
- 92
-
Autocloning Si-SiO/sub 2/ multilayer deposition by magnetron and ECR sputteringTakahashi, C. / Kaneko, T. / Kohno, M. / Itomura, D. / Kurihara, K. / Yoshihara, H. et al. | 2002
- 92
-
7B-6-4 14:50 Autocloning Si-SiO~2 Multilayer Deposition by Magnetron and ECR SputteringTakahashi, C. / Kaneko, T. / Kohno, M. / Itomura, D. / Kurihara, K. / Yoshihara, H. / Japan Society of Applied Physics et al. | 2002
- 94
-
Fabrication of micronozzle array by surface moulding techniqueZheng Cui, et al. | 2002
- 94
-
7B-6-5 15:10 Fabrication of Micronozzle Array by Surface Moulding TechniqueCui, Z. / Japan Society of Applied Physics et al. | 2002
- 96
-
7B-6-6L 15:30 Nanoscale Featuring of Graphite Surface by Highly Charged Ion ImpactMeguro, T. / Hida, A. / Koguchi, Y. / Miyamoto, S. / Yamaguchi, Y. / Takai, H. / Yamamoto, Y. / Maeda, K. / Aoyagi, Y. / Japan Society of Applied Physics et al. | 2002
- 96
-
Nanoscale featuring of graphite surface by highly charged ion impactMeguro, T. / Hida, A. / Koguchi, Y. / Miyamoto, S. / Yamaguchi, Y. / Takai, H. / Yamamoto, Y. / Maeda, K. / Aoyagi, Y. et al. | 2002
- 98
-
Development of EUV wavefront metrology technology in ASETMurakami, K. / Saito, J. / Ota, K. / Kondo, H. / Ishii, M. / Kawakami, J. / Oshino, T. / Sugisaki, K. / Zhu, Y. / Suzuki, A. et al. | 2002
- 98
-
7P-7-1 Development of EUV Wavefront Metrology Technology in ASETMurakami, K. / Saito, J. / Ota, K. / Kondo, H. / Ishii, M. / Kawakami, J. / Oshino, T. / Sugisaki, K. / Zhu, Y. / Suzuki, A. et al. | 2002
- 100
-
Alternating phase shifting mask in EUV lithographySugawara, M. / Chiba, A. / Yamanashi, H. / Nishiyama, I. et al. | 2002
- 100
-
7P-7-2 Alternating Phase Shifting Mask in EUV LithographySugawara, M. / Chiba, A. / Yamanashi, H. / Nishiyama, I. / Japan Society of Applied Physics et al. | 2002
- 102
-
7P-7-3 Enhancement of EUV Reflective Multilayer Properties by the Insertion of Ru Barrier LayerLee, S. Y. / Kim, T. G. / Kim, H. J. / Ahn, J. / Japan Society of Applied Physics et al. | 2002
- 102
-
Enhancement of EUV reflective multilayer properties by the insertion of Ru barrier layerSeung Yoon Lee, / Tae Geun Kim, / Hyung Jun Kim, / Jinho Ahn, et al. | 2002
- 104
-
Heat sink dependency of mask in-plane displacement for extreme ultraviolet lithographyChiba, A. / Sugawara, M. / Yamanashi, H. / Nishiyama, I. et al. | 2002
- 104
-
7P-7-4 Heat Sink Dependency of Mask In-Plane Displacement for Extreme Ultraviolet LithographyChiba, A. / Sugawara, M. / Yamanashi, H. / Nishiyama, I. / Japan Society of Applied Physics et al. | 2002
- 106
-
7P-7-5 Study on the Optimization of High Transmittance Attenuated Phase-Shifting Mask by Design of ExperimentLoong, W.-A. / Lin, H.-Y. / Yeh, W.-L. / Japan Society of Applied Physics et al. | 2002
- 106
-
Study on the optimization of high transmittance attenuated phase-shifting mask by design of experimentWen-an Leong, / Hsien-yun Lin, / Wen-long Yeh, et al. | 2002
- 108
-
A deep X-ray lithography in the "NewSUBARU"Mekaru, H. / Utsumi, Y. / Hattori, T. et al. | 2002
- 108
-
7P-7-6 A Deep X-Ray Lithography in the "NewSUBARU"Mekaru, H. / Utsumi, Y. / Hattori, T. / Japan Society of Applied Physics et al. | 2002
- 110
-
7P-7-7 Mechanism and Modeling of Ring Pattern Formation for Electron Beam Exposure on ZwitterresistChen, J.-K. / Ko, F.-H. / Chang, F.-C. / Chen, H.-L. / Japan Society of Applied Physics et al. | 2002
- 110
-
Mechanism and modeling of ring pattern formation for electron beam exposure on zwitterresistJem-Kun Chen, / Fu-Hsiang Ko, / Feng-Chih Chang, / Hsuen-Li Chen, et al. | 2002
- 112
-
7P-7-8 A Miniaturized Electron Beam Column Simulation by the FCM MethodKim, Y. / Kim, D.-W. / Kim, D. W. / Ahn, S. J. / Jo, C. / Kim, H.-S. / Japan Society of Applied Physics et al. | 2002
- 112
-
A miniaturized electron beam column simulation by the FCM methodYoungchul Kim, / Dae-Wook Kim, / Do Wan Kim, / Seung Joon Ahn, / Chulsu Jo, / Ho-Seob Kim, et al. | 2002
- 114
-
7P-7-9 Estimation of Optimum EPL Mask Biases in Consideration of Coulomb Beam BlurKobinata, H. / Yamada, Y. / Tamura, T. / Fujii, K. / Narihiro, M. / Ochiai, Y. / Japan Society of Applied Physics et al. | 2002
- 114
-
Estimation of optimum EPL mask biases in consideration of Coulomb beam blurKobinata, H. / Yamada, Y. / Tamura, T. / Fujii, K. / Narihiro, M. / Ochiai, Y. et al. | 2002
- 116
-
7P-7-10 Multiple-Step Electron Charging in Si Quantum-Dot Floating Gate MOS MemoriesIkeda, M. / Shimizu, Y. / Murakami, H. / Miyazaki, S. / Japan Society of Applied Physics et al. | 2002
- 116
-
Multiple-step electron charging in Si quantum-dot floating gate MOS memoriesIkeda, M. / Shimizu, Y. / Murakami, H. / Miyazaki, S. et al. | 2002
- 118
-
7P-7-11 Si Nanoprocess for Vertical Double-Gate MOSFET FabricationMasahara, M. / Matsukawa, T. / Ishii, K. / Liu, Y. / Sakamoto, K. / Kanemaru, S. / Suzuki, E. / Japan Society of Applied Physics et al. | 2002
- 118
-
Si nanoprocess for vertical double-gate MOSFET fabricationMasahara, M. / Matsukawa, T. / Ishii, K. / Yongxun Liu, / Sakamoto, K. / Kanemaru, S. / Suzuki, E. et al. | 2002
- 120
-
7P-7-12 Patterning of Nanoscale Si Lines Using E-Beam Lithography and High-Selectivity Plasma EtchingHou, F.-J. / Lin, H.-C. / Chen, H.-L. / Liu, J.-T. / Pan, C.-T. / Ko, F.-H. / Wang, M.-F. / Huang, T.-Y. / Japan Society of Applied Physics et al. | 2002
- 120
-
Patterning of nanoscale Si lines using e-beam lithography and high-selectivity plasma etchingFu-Ju Hou, / Horng-Chih Lin, / Hsuen-Li Chen, / Jan-Tsai Liu, / Ching-te Pan, / Fu-Hsiang Ko, / Men-Fang Wang, / Tiao-Yuan Huang, et al. | 2002
- 122
-
Fabrication of Fin-type double-gate MOSFET (FXMOS) structure by orientation-dependent etching and electron beam lithographyLiu, Y.X. / Ishii, K. / Tsutsumi, T. / Masahara, M. / Takashima, H. / Suzuki, E. et al. | 2002
- 122
-
7P-7-13 Fabrication of Fin-Type Double-Gate MOSFET (FXMOS) Structure by Orientation-Dependent Etching and Electron Beam LithographyLiu, Y. X. / Ishii, K. / Tsutsumi, T. / Masahara, M. / Takashima, H. / Suzuki, E. / Japan Society of Applied Physics et al. | 2002
- 124
-
Lithography process development for 20 nm MOSFET devicesMollard, L. / Dal'zotto, B. / Tedesco, S. / Bertrand, G. et al. | 2002
- 124
-
7P-7-14 Lithography Process Development for 20 nm MOSFET DevicesMollard, L. / Dal zotto, B. / Tedesco, S. / Bertrand, G. / Foucher, J. / Japan Society of Applied Physics et al. | 2002
- 126
-
Investigation on the degradation of MOSFETs characteristics due to localized Cu contaminationYoun-Jang Kim, / Ohyun Kim, et al. | 2002
- 126
-
7P-7-15 Investigation on the Degradation of MOSFETs Characteristics Due to Localized Cu ContaminationKim, Y.-J. / Kim, O. / Japan Society of Applied Physics et al. | 2002
- 128
-
7P-7-16 Molecular Dynamics Studies on Mechanical Properties of Carbon Nano Tubes with Pinhole DefectsHirai, Y. / Nishimaki, S. / Mori, H. / Kimoto, Y. / Tanaka, Y. / Japan Society of Applied Physics et al. | 2002
- 128
-
Molecular dynamics studies on mechanical properties of carbon nano tubes with pinhole defectsHirai, Y. / Nishimaki, S. / Mori, H. / Kimoto, Y. / Tanaka, Y. et al. | 2002
- 130
-
7P-7-17 Synthesis and Properties of Metal Catalyst Nanoparticles for Carbon Nanotubes GrowthHwang, H.-Y. / Lee, P.-H. / Yang, J.-Y. / Japan Society of Applied Physics et al. | 2002
- 130
-
Synthesis and properties of metal catalyst nanoparticles for carbon nanotubes growthHsin-Yen Hwang, / Pei-Hsuang Lee, / Jung-Yen Yang, et al. | 2002
- 132
-
7P-7-18 Structure and Thermal Evolution of Submicrocrystalline Sr-M (M=Na, K) Zirconates Obtained from Water SolutionMakarova, M. V. / Kazin, P. E. / Tretyakov, Y. D. / Japan Society of Applied Physics et al. | 2002
- 132
-
Structure and thermal evolution of submicrocrystalline Sr-M (M=Na,K) zirconates obtained from water solutionMakarova, M.V. / Kazin, P.E. / Tretyakov, Yu.D. et al. | 2002
- 134
-
7P-7-19 Nanometer-Size PMMA Polymer Network Synthesis by Gold Nanoparticles TemplateLiu, F.-K. / Hsieh, S.-Y. / Ker, C.-J. / Chen, H.-L. / Ko, F.-H. / Chu, T.-C. / Dai, B.-T. / Japan Society of Applied Physics et al. | 2002
- 134
-
Nanometer-size PMMA polymer network synthesis by gold nanoparticles templateLiu, F.-K. / Hsieh, S.-Y. / Ker, C.-J. / Chen, H.-L. / Kol, F.-H. / Chu, T.-C. / Dail, B.-T. et al. | 2002
- 136
-
7P-7-20 Highly Effective Chemical Route for the Preparation of Uniform Nanometer Gold Particles by Microwave IrradiationLiu, F.-K. / Ker, C.-J. / Ko, F.-H. / Chen, H.-L. / Dai, B.-T. / Japan Society of Applied Physics et al. | 2002
- 136
-
Highly effective chemical route for the preparation of uniform nanometer gold particles by microwave irradiationLiu, F.-K. / Ker, C.-J. / Ko, F.-H. / Chen, H.-L. / Dai, B.-T. et al. | 2002
- 138
-
7P-7-21 Bovine-Serum-Albumin Capped Gold Nanoparticles as Bio-Mimic System for the Stereo-Specified Recognition of Dansyl-Derivative Amino AcidLiu, F.-K. / Wei, G.-T. / Dai, B.-T. / Japan Society of Applied Physics et al. | 2002
- 138
-
Bovine-serum-albumin capped gold nanoparticles as bio-mimic system for the stereo-specified recognition of Dansyl-derivative amino acidFu-Ken Liu, / Gour-Tzo Wei, / Bau-Tong Dai, et al. | 2002
- 140
-
Nano-wire formation and selective adhesion on substrates by single ion track reaction in polysilanesSeki, S. / Tsukuda, S. / Yoshida, Y. / Kozawa, T. / Tagawa, S. / Sugimoto, M. / Tanaka, S. et al. | 2002
- 140
-
7P-7-22 Nano-Wire Formation and Selective Adhension on Substrates by Single Ion Track Reaction in PolysilanesSeki, S. / Tsukuda, S. / Yoshida, Y. / Kozawa, T. / Tagawa, S. / Sugimoto, M. / Tanaka, S. / Japan Society of Applied Physics et al. | 2002
- 142
-
7P-7-23 Distributed Feedback Lasers Having Quantum-Wire Array as an Active GratingKim, T. G. / Ogura, M. / Japan Society of Applied Physics et al. | 2002
- 142
-
Distributed feedback lasers having quantum-wire array as an active gratingTae Geun Kim, / Ogura, M. et al. | 2002
- 144
-
7P-7-24 Fabrication of Airhole-Type GaInAsP/InP Photonic Crystal by ICP EtchingInoshita, K. / Izumi, T. / Baba, T. / Japan Society of Applied Physics et al. | 2002
- 144
-
Fabrication of airhole-type GaInAsP/InP photonic crystal by ICP etchingInoshita, K. / Humi, T. / Baba, T. et al. | 2002
- 146
-
Facet formation of uniform InAs quantum dots by molecular beam epitaxyKaizu, T. / Yamaguchi, K. et al. | 2002
- 146
-
7P-7-25 Facet Formation of Uniform InAs Quantum Dots by Molecular Beam EpitaxyKaizu, T. / Yamaguchi, K. / Japan Society of Applied Physics et al. | 2002
- 148
-
7P-7-26 Polarization Dependence of Holographic Grating in Chalcogenide FilmPark, J.-I. / Lee, J.-T. / Lee, Y.-J. / Chung, H.-B. / Japan Society of Applied Physics et al. | 2002
- 148
-
Polarization dependence of holographic grating in chalcogenide filmJeong-Il Park, / Jung-Tae Lee, / Youg-Jong Lee, / Hong-Bay Chung, et al. | 2002
- 150
-
The novel nano-fabrication technique with low edge roughnessHashioka, S. / Mogi, T. / Matsumura, H. et al. | 2002
- 150
-
7P-7-27 The Novel Nano-Fabrication Technique with Low Edge RoughnessHashioka, S. / Mogi, T. / Matsumura, H. / Japan Society of Applied Physics et al. | 2002
- 152
-
7P-7-28 Fabrication of Flat Electrodes with Nano-Gaps Using Cluster Ion BeamsTaniguchi, M. / Kawai, T. / Watanabe, K. / Matsui, S. / Toyoda, N. / Yamada, I. / Kubota, T. / Mashiko, S. / Japan Society of Applied Physics et al. | 2002
- 152
-
Fabrication of flat electrodes with nano-gaps using cluster ion beamsTaniguchi, M. / Kawai, T. / Watanabe, K. / Matsui, S. / Toyoda, N. / Yamada, I. / Kubota, T. / Mashik, S. et al. | 2002
- 154
-
7P-7-29 Fabrication of Nano-Gap Electrodes Using Ultrathin Metal FilmMiyazaki, T. / Kobayashi, K. / Yamada, H. / Ishida, K. / Horiuchi, T. / Matsushige, K. / Japan Society of Applied Physics et al. | 2002
- 154
-
Fabrication of nano-gap electrodes using ultrathin metal filmMiyazaki, T. / Kobayashi, K. / Ishida, K. / Horiuchi, T. / Yamada, H. / Matsushige, K. et al. | 2002
- 156
-
7P-7-30 Three-Dimensional Nanoimprint Using a Mold Made by Focused-Ion-Beam Chemical-Vapor-DepositionMorita, T. / Kometani, R. / Watanabe, K. / Kanda, K. / Haruyama, Y. / Kaito, T. / Fujita, J. / Ishida, M. / Ochiai, Y. / Matsui, S. et al. | 2002
- 156
-
Three-dimensional nanoimprint using a mold made by focused-ion-beam chemical-vapor-depositionMorita, T. / Kometani, R. / Watanabe, K. / Kanda, K. / Haruyama, Y. / Kaito, T. / Fujita, J. / Ishida, M. / Ochiai, Y. / Matsui, S. et al. | 2002
- 158
-
Evaluation of line edge roughness in nanoimprint using photo-curable polymerKurashima, Y. / Komuro, M. / Hiroshima, H. / Taniguchi, J. / Miyamoto, I. et al. | 2002
- 158
-
7P-7-31 Evaluation of Line Edge Roughness in Nanoimprint Using Photo-Curable PolymerKurashima, Y. / Komuro, M. / Hiroshima, H. / Taniguchi, J. / Miyamoto, I. / Japan Society of Applied Physics et al. | 2002
- 160
-
Micro hot embossing for replication of micro structuresShan, X.C. / Murakoshi, Y. / Maeda, R. et al. | 2002
- 160
-
7P-7-32 Micro Hot Embossing for Replication of Micro StructuresShan, X. C. / Murakoshi, Y. / Maeda, R. / Japan Society of Applied Physics et al. | 2002
- 162
-
3D imprint lithography using Si/sub x/N/sub y/ moldsJayatissa, W. / Alkaisi, M.M. / Blaikie, R.J. et al. | 2002
- 162
-
7P-7-33 3D Imprint Lithography Using Si~xN~y MoldsJayatissa, W. / Alkaisi, M. M. / Blaikie, R. J. / Japan Society of Applied Physics et al. | 2002
- 164
-
Fabrication of diamond mold for imprint lithographyKonoma, C. / Ono, T. / Miyashita, H. / Kanomori, Y. / Esashi, M. et al. | 2002
- 164
-
7P-7-34 Fabrication of Diamond Mold for Imprint LithographyKonoma, C. / Ono, T. / Miyashita, H. / Kanamori, Y. / Esashi, M. / Japan Society of Applied Physics et al. | 2002
- 166
-
7P-7-79L Metustable Atom Lithography: A Potential Condiclate of the NGL and the First Results at the NIMSJu, X. / Kurahashi, M. / Suzuki, T. / Yamaguchi, Y. / Japan Society of Applied Physics et al. | 2002
- 166
-
Metastable atom lithography: a potential candidate of the NGL and the first results at the NIMSJu, X. / Kurahashi, M. / Suzuki, T. / Yamauchi, Y. et al. | 2002
- 168
-
7P-7-80L 3D Imprint Technologoy Using SOC MoldTaniguchi, J. / Iida, M. / Takezawa, S. / Kurashima, Y. / Miyamoto, I. / Komuro, M. / Hiroshima, H. / Matsui, S. / Sakai, N. / Toda, K. et al. | 2002
- 168
-
3D imprint technology using SOG moldTaniguchi, J. / Iida, M. / Takezawa, S. / Kurashima, Y. / Miyamoto, I. / Komuro, M. / Hiroshima, H. / Matsui, S. / Sakai, N. / Tada, K. et al. | 2002
- 170
-
Biomolecules sorting system using thermal gelation of methyl cellulose solutionIkeda, S. / Tashiro, K. / Sekiguchi, T. / Shoji, S. / Makazu, H. / Shirasaki, Y. / Funatsu, T. / Tsukita, S. et al. | 2002
- 170
-
7P-7-35 Biomolecules Sorting System Using Thermal Gelation of Methyl Cellulose SolutionIkeda, S. / Tashiro, K. / Sekiguchi, T. / Shoji, S. / Makazu, H. / Shirasaki, Y. / Funatsu, T. / Tsukita, S. / Japan Society of Applied Physics et al. | 2002
- 172
-
Observation of on-chip electrophoresis microcapillary using confocal laser scanning microscopyEtoh, S. / Fujimura, T. / Hattori, R. / Kuroki, Y. et al. | 2002
- 172
-
7P-7-36 Observation of On-Chip Electrophoresis Microcapillary Using Confocal Laser Scanning MicroscopyEtoh, S. / Fujimura, T. / Hattori, R. / Kuroki, Y. / Japan Society of Applied Physics et al. | 2002
- 174
-
7P-7-37 Fabrication of Microchambers and Microchannels with Biodegradable MaterialsLeclerc, E. / Sakai, Y. / Tsuru, T. / Miyata, F. / Furukawa, K. / Fujii, T. / Japan Society of Applied Physics et al. | 2002
- 174
-
Fabrication of microchambers and microchannels with biodegradable materialsLeclerc, E. / Sakai, Y. / Tsuru, T. / Miyata, F. / Furukawa, K.S. / Fujii, T. et al. | 2002
- 176
-
Effects of improved microchannel structures to the separation characteristics of microchip capillary electrophoresisUtsumi, Y. / Ozaki, M. / Tereabe, S. / Hattori, T. et al. | 2002
- 176
-
7P-7-38 Effects of Improved Microchannel Structures to the Separation Characteristics of Microchip Capillary ElectrophoresisUtsumi, Y. / Ozaki, M. / Terabe, S. / Hattori, T. / Japan Society of Applied Physics et al. | 2002
- 178
-
7P-7-39 Fabrication of Open-Top Microchannel Plate Using Deep X-Ray Exposure Mask Made with SOI SubstrateFujimura, T. / Ikeda, A. / Etoh, S. / Hattori, R. / Kuroki, Y. / Chang, S. S. / Japan Society of Applied Physics et al. | 2002
- 178
-
Fabrication of open-top microchannel plate using deep x-ray exposure mask made with SOI substrateFujimura, T. / Ikeda, A. / Etoh, S. / Hattori, R. / Kuroki, Y. / Suk Sang Chang, et al. | 2002
- 180
-
7P-7-40 Nano Bio-Injector Fabrication be Focused -Ion-Beam Chemical-Vapor - DevositionKometani, R. / Morita, T. / Watanabe, K. / Kanda, K. / Haruyama, Y. / Kaito, T. / Fujita, J. / Ishida, M. / Ochiai, Y. / Matsui, S. et al. | 2002
- 180
-
Nano bio-injector fabrication by focused-ion-beam chemical-vapor-depositionKometani, R. / Morita, T. / Watanabe, K. / Kanda, K. / Haruyama, Y. / Kaito, T. / Fujita, J. / Ishida, M. / Ochiai, Y. / Matsui, S. et al. | 2002
- 182
-
7P-7-41 Subwavelength Antireflection Gratings for Photovoltaic Cells in Visible and Near- Infrared WavelengthsKanamori, Y. / Kobayashi, K. / Yugami, H. / Hane, K. / Japan Society of Applied Physics et al. | 2002
- 182
-
Subwavelength antireflection gratings for photovoltaic cells in visible and near-infrared wavelengthsKanamori, Y. / Kobayashi, K. / Yugami, H. / Hane, K. et al. | 2002
- 184
-
Array of micromachined components fabricated using "micro-origami" methodVorob'ev, A. / Vaccaro, P.O. / Kubota, K. / Saravanan, S. / Aida, T. et al. | 2002
- 184
-
7P-7-42 Array of Micromachined Components Fabricated Using "Micro-Origami" MethodVorob ev, A. / Vaccaro, P. O. / Kubota, K. / Saravanan, S. / Aida, T. / Japan Society of Applied Physics et al. | 2002
- 186
-
7P-7-43 Optical Surface Plasmon Analysis for Narrow Band-Pass Filter Fabrication Using a Dielectric Plane with Metallic NanoparticlesNonaka, S. / Kawajiri, K. / Yasuba, H. / Sugiyama, T. / Japan Society of Applied Physics et al. | 2002
- 186
-
Optical surface plasmon analysis for narrow band-pass filter fabrication using a dielectric plane with metallic nanoparticlesNonaka, S. / Kawajiri, K. / Yasuba, H. / Sugiyama, T. et al. | 2002
- 188
-
Spray coated photoresist over anisotropically etched deep Si cavitiesSingh, V.K. / Sasaki, M. / Jong Hyeong Song, / Hane, K. et al. | 2002
- 188
-
7P-7-44 Spray Coated Photoresist Over Anisotropically Etched Deep Si CavitiesSingh, V. K. / Sasaki, M. / Song, J. H. / Hane, K. / Japan Society of Applied Physics et al. | 2002
- 190
-
Low cost and high performance micro-fabrication method using low NA projection lithography on copper clad plastic boardsHoriuchi, T. / Hirota, K. / Ozaki, M. et al. | 2002
- 190
-
7P-7-45 Low Cost and High Performance Micro-Fabrication Method Using Low NA Projection Lithography on Copper Clad Plastic BoardsHoriuchi, T. / Hirota, K. / Ozaki, M. / Japan Society of Applied Physics et al. | 2002
- 192
-
Microfabrication by hot embossing and injection molding in LIGA processMekaru, H. / Yamada, T. / Sho En, / Hattori, T. et al. | 2002
- 192
-
7P-7-46 Microfabrication by Hot Embossing and Injection Molding in LIGA ProcessMekaru, H. / Yamada, T. / En, S. / Hattori, T. / Japan Society of Applied Physics et al. | 2002
- 194
-
Fabrication of nano electron source using beam assisted processMurakami, K. / Jarupoonphol, W. / Sakata, K. / Takai, M. et al. | 2002
- 194
-
7P-7-47 Fabrication of Nano Electron Source Using Beam Assisted ProcessMurakami, K. / Jarupoonphol, W. / Sakata, K. / Takai, M. / Japan Society of Applied Physics et al. | 2002
- 196
-
7P-7-48 Wavelength Dependence of UV Laser Cleaning for Silicon Field Emitter ArraysKanazawa, Y. / Sawada, A. / Zhao, W. J. / Jarupoonphol, W. / Takai, M. / Japan Society of Applied Physics et al. | 2002
- 196
-
Wavelength dependence of UV laser cleaning for silicon field emitter arraysKanazawa, Y. / Sawada, A. / Zhao, W.J. / Jarupoonphol, W. / Takai, M. et al. | 2002
- 198
-
7P-7-77 Formation of Micro-Lens by Reflow of Dual PhotoresistHocheng, H. / Pan, C. T. / Cheng, C. C. / Japan Society of Applied Physics et al. | 2002
- 198
-
Formation of micro-lens by reflow of dual photoresistHocheng, H. / Pan, C.T. / Cheng, C.C. et al. | 2002
- 200
-
7P-7-78 Fabrication of Micro Membrane Reactor for Hydrogen Peroxide ProductionLee, S. H. / Maeda, R. / Mizukami, F. / Japan Society of Applied Physics et al. | 2002
- 200
-
Fabrication of micro membrane reactor for hydrogen peroxide productionLee, S.H. / Maeda, R. / Mizukami, F. et al. | 2002
- 202
-
Growth of a sub-micron single diamond particle on a Si tip and its field emission characteristicMimura, H. / Yuan, G. / Ikeda, M. / Yokoo, K. et al. | 2002
- 202
-
7P-7-81L Growth of a Sub-Micron Single Diamond Particle on a Si Tip and Its Field Emission CharacteristicMimura, H. / Yuan, G. / Ikeda, M. / Yokoo, K. / Japan Society of Applied Physics et al. | 2002
- 204
-
7P-7-82L Fabrication of a GaAs Emitter with a High Aspect Ratio for Generation of Prebunched Electron Beam Using Gunn EffectHasegawa, H. / Mimura, H. / Yokoo, K. / Japan Society of Applied Physics et al. | 2002
- 204
-
Fabrication of a GaAs emitter with a high aspect ratio for generation of prebunched electron beam using Gunn effectHasegawa, H. / Mimura, H. / Yokoo, K. et al. | 2002
- 206
-
Electromechanical microswitches with thermal actuationKetterer, B. / Bitnar, B. / Haas, B. / Neiger, T. / Bachle, D. / Glaus, F. / Gobrecht, J. et al. | 2002
- 206
-
7P-7-83L Electromechanical Microswitches with Thermal ActuationKetterer, B. / Bitnar, B. / Haas, B. / Neiger, T. / Bachle, D. / Glaus, F. / Gobrecht, J. / Japan Society of Applied Physics et al. | 2002
- 208
-
7P-7-49 Self-Limiting Oxidation during Growth of Very Thin Oxides on Si(001) Surface Studied by Real-Time Auger Electron SpectroscopyTakakuwa, Y. / Kawawa, T. / Japan Society of Applied Physics et al. | 2002
- 208
-
Self-limiting oxidation during growth of very thin oxides on Si[001] surface studied by real-time Auger electron spectroscopyTakakuwa, Y. / Kawawa, T. et al. | 2002
- 210
-
7P-7-50 Real Time Monitoring of Initial Thermal Oxidation on Si(001) Surface by Synchrotron Radiation Photoemission SpectroscopyYoshigoe, A. / Moritani, K. / Teraoka, Y. / Japan Society of Applied Physics et al. | 2002
- 210
-
Real time monitoring of initial thermal oxidation on Si[001] surface by synchrotron radiation photoemission spectroscopyYoshigoe, A. / Moritani, K. / Teraoka, Y. et al. | 2002
- 212
-
Si-2p and O-1s photoemission spectroscopy during initial oxidation of Si[001] induced by supersonic O/sub 2/ molecular beams at 860 K to 1300 KTeraoka, Y. / Yoshigoe, A. / Moritani, K. et al. | 2002
- 212
-
7P-7-51 Si-2p and O-1s Photoemission Spectroscopy during Initial Oxidation of Si(001) Induced by Supersonic O2 Molecular Beams at 860 K to 1300 KTeraoka, Y. / Yoshigoe, A. / Moritani, K. / Japan Society of Applied Physics et al. | 2002
- 214
-
PMMA direct patterning by synchrotron radiation using SOG maskTaniguchi, J. / Takezawa, S. / Kanda, K. / Haruyama, Y. / Matsui, S. / Miyamoto, I. et al. | 2002
- 214
-
7P-7-52 PMMA Direct Patterning by Synchrotron Radiation Using SOG MaskTaniguchi, J. / Takezawa, S. / Kanda, K. / Haruyama, Y. / Matsui, S. / Miyamoto, I. / Japan Society of Applied Physics et al. | 2002
- 216
-
Surface modification of fluorocarbon polymers by synchrotron radiationKanda, K. / Ideta, T. / Haruyama, Y. / Ishigaki, H. / Matsui, S. et al. | 2002
- 216
-
7P-7-53 Surface Modification of Fluorocarbon Polymers by Synchrotron RadiationKanda, K. / Ideta, T. / Haruyama, Y. / Ishigaki, H. / Matsui, S. / Japan Society of Applied Physics et al. | 2002
- 218
-
7P-7-54 GaAs Micro Crystal Growth and its Position Control by Low Energy Focused Ion BeamChikyow, T. / Koguchi, N. / Japan Society of Applied Physics et al. | 2002
- 218
-
GaAs microcrystal growth and its position control by low energy focused ion beamChikyow, T. / Koguchi, N. et al. | 2002
- 220
-
7P-7-55 Synthesis of Microcrystalline Silicon Films by Plasma Enhanced Chemical Vapor Deposition Using Multiple Inductively-Coupled-Plasma Modules with Low-Inductance AntennaEbe, A. / Inami, H. / Baba, S. / Yamamoto, N. / Setsuhara, Y. / Shoji, T. / Miyake, S. / Japan Society of Applied Physics et al. | 2002
- 220
-
Synthesis of microcrystalline silicon films by plasma enhanced chemical vapor deposition using multiple inductively-coupled-plasma modules with low-inductance antennaEbe, A. / Inami, H. / Baba, S. / Yamamoto, N. / Setsuhara, Y. / Shoji, T. / Miyake, S. et al. | 2002
- 222
-
Development of large-scale inductively coupled plasma source with multiple internal antennas units for synthesis of microcrystalline silicon filmsBaba, S. / Yamamoto, N. / Inami, H. / Ebe, A. / Setsuhara, Y. / Shoji, T. / Miyake, S. et al. | 2002
- 222
-
7P-7-56 Development of Large-Scale Inductively Coupled Plasma Source with Multiple Internal Antennas Units for Synthesis of Microcrystalline Silicon FilmsBaba, S. / Yamamoto, N. / Inami, H. / Ebe, A. / Setsuhara, Y. / Shoji, T. / Miyake, S. / Japan Society of Applied Physics et al. | 2002
- 224
-
Temporal control of radicals for high crystallinity of microcrystalline silicon films in UHF SiH/sub 4//H/sub 2/ pulse-modulated plasma CVDMatsutani, M. / Hori, N. / Hori, M. / Goto, T. / Tsukada, T. et al. | 2002
- 224
-
7P-7-57 Temporal Control of Radicals for High Crystallinity of Microcrystalline Silicon Films in UHF SiH~4/H~2 Pulse-Modulated Plasma CVDMatsutani, M. / Hori, N. / Hori, M. / Goto, T. / Tsukada, T. / Japan Society of Applied Physics et al. | 2002
- 226
-
7P-7-58 Pulsed Laser Deposited Lead Zirconate Titanate Thin Films for Micro ActuatorsZhang, L. / Ichiki, M. / Wang, Z.-J. / Maeda, R. / Japan Society of Applied Physics et al. | 2002
- 226
-
Pulsed laser deposited lead zirconate titanate thin films for micro actuatorsZhang, L. / Ichiki, M. / Wang, Z.-J. / Maeda, R. et al. | 2002
- 228
-
7P-7-59 Nb~2O~5/SiO~2 Multilayer Films Formation Using O~2 Gas Cluster Ion Beam DepositionFujiwara, Y. / Toyoda, N. / Yamada, I. / Sato, M. / Japan Society of Applied Physics et al. | 2002
- 228
-
Nb/sub 2/O/sub 5//SiO/sub 2/ multilayer films formation using O/sub 2/ gas cluster ion beam depositionFujiwara, Y. / Toyoda, N. / Yamada, I. / Sato, M. et al. | 2002
- 230
-
Influence of intentionally strained sapphire substrate on GaN epilayersJaekyun Kim, / Young Ju Park, / Dongjin Byun, / Eui Kwan Koh, / Eun Kyu Kim, / Suk-Ki Min, et al. | 2002
- 230
-
7P-7-60 Influence of Intentionally Strained Sapphire Substrate on GaN EpilayersKim, J. / Park, Y. J. / Byun, D. / Koh, E. K. / Kim, E. K. / Min, S.-K. / Japan Society of Applied Physics et al. | 2002
- 232
-
7P-7-61 Development of Nitrogen-Doped Cobalt Silicide Film as the Hinge Material of a MicromirrorTing, J.-H. / Shiau, S.-H. / Chen, Y.-J. / Dai, B.-T. / Pan, F.-M. / Wong, H. / Pu, G. M. / Kung, C.-Y. / Japan Society of Applied Physics et al. | 2002
- 232
-
Development of nitrogen-doped cobalt silicide film as the hinge material of a micromirrorJyh-Hua Ting, / Shiuann-Huah Shiau, / Yeong-Jyh Chen, / Bau-Tong Dai, / Fu-Ming Pan, / Wong, H. / Pu, G.M. / Chung-Yuan Kung, et al. | 2002
- 234
-
Fine pattern etching of molybdenum by using atmospheric line shaped micro plasma sourceOkumura, T. / Saitoh, M. / Yashiro, Y. / Kimura, T. et al. | 2002
- 234
-
7P-7-62 Fine Pattern Etching of Molybdenum by Using Atmospheric Line Shaped Micro Plasma SourceOkumura, T. / Saitoh, M. / Yashiro, Y. / Kimura, T. / Japan Society of Applied Physics et al. | 2002
- 236
-
7P-7-63 Localized Plasma Processing of Materials Using Atmospheric Pressure Microplasma JetsYoshiki, H. / Horiike, Y. / Japan Society of Applied Physics et al. | 2002
- 236
-
Localized plasma processing of materials using atmospheric pressure microplasma jetsYoshiki, H. / Horiike, Y. et al. | 2002
- 238
-
Planarization of SiO/sub 2/ film employing anhydrous HF vaporFukasawa, T. / Ide, D. / Horiike, Y. et al. | 2002
- 238
-
7P-7-64 Planarization of SiO~2 Film Employing Anhydrous HF VaporFukasawa, T. / Ide, D. / Horiike, Y. / Japan Society of Applied Physics et al. | 2002
- 240
-
Synchrotron radiation stimulated etching SiO/sub 2/ thin films with a Co contact mask for the area-selective deposition of self-assembled monolayerChangshun Wang, / Rahman, M. / Urisu, T. et al. | 2002
- 240
-
7P-7-65 Synchrotron Radiation Stimulated Etching SiO~2 Thin Films with a Co Contact Mask for the Area-Selective Deposition of Self-Assembled MonolayerWang, C. / Rahman, M. / Urisu, T. / Japan Society of Applied Physics et al. | 2002
- 242
-
A novel approach to chemically amplified resist materials for next generation of lithographyKyoung-sun Park, / Dae-yong Kim, / Sang-kuk Choi, / Dong Hack Suh, et al. | 2002
- 242
-
7P-7-66 A Novel Approach to Chemically Amplified Resist Materials for Next Generation of LithographyPark, K.-S. / Kim, D.-Y. / Choi, S.-K. / Suh, D. H. / Japan Society of Applied Physics et al. | 2002
- 244
-
157nm resist material design for improvement of its transparency by using highly precise theoretical calculationYamazaki, T. / Itani, T. et al. | 2002
- 244
-
7P-7-67 157nm Resist Material Design for Improvement of Its Transparency by Using Highly Precise Theoretical CalculationYamazaki, T. / Itani, T. / Japan Society of Applied Physics et al. | 2002
- 248
-
7P-7-69 RELACS Technique for Printing Sub-100nm Trench Using KrF LithographySheu, W.-H. / Chang, Y.-C. / Japan Society of Applied Physics et al. | 2002
- 248
-
RELACS technique for printing sub-100nm trench using KrF lithographyWei-Hua Sheu, / Yung-Cheng Chang, et al. | 2002
- 250
-
Diluted low dielectric constant materials as bottom antireflective coating layers for both KrF and ArF lithographyChen, H.L. / Chao, W.C. / Ko, F.H. / Chu, T.C. / Cheng, H.C. et al. | 2002
- 250
-
7P-7-70 Diluted Low Dielectric Constant Materials as Bottom Antireflective Coating Layers for both KrF and ArF LithographyChen, H. L. / Chao, W. C. / Ko, F. H. / Chu, T. C. / Cheng, H. C. / Japan Society of Applied Physics et al. | 2002
- 252
-
7P-7-71 Expanding Resist Process Latitude with Shortened Develop TimeSanada, M. / Tamada, O. / Japan Society of Applied Physics et al. | 2002
- 252
-
Expanding resist process latitude with shortened develop timeSanada, M. / Tamada, O. et al. | 2002
- 254
-
7P-7-72 Threshold Energy Resist Model for CD PredictionYoo, J.-Y. / Kwon, Y.-K. / Park, J.-T. / Sohn, D.-S. / An, I. / Oh, H.-K. / Japan Society of Applied Physics et al. | 2002
- 254
-
Threshold energy resist model for CD predictionJi-Yong Yoo, / Young-Keun Kwon, / Jun-Taek Park, / Dong-Soo Sohn, / Ilsin An, / Hye-Keun Oh, et al. | 2002
- 256
-
Process proximity correction by using neural networksKyoung-Ah Jeon, / Ji-Yong Yoo, / Jun-Taek Park, / Hyeongsoo-Kim, / Ilsin An, / Hye-Keun Oh, et al. | 2002
- 256
-
7P-7-73 Process Proximity Correction by Using Neural NetworksJeon, K.-A. / Yoo, J.-Y. / Park, J.-T. / Kim, H. / An, I. / Oh, H.-K. / Japan Society of Applied Physics et al. | 2002
- 258
-
Uncertainties caused from surface contaminations to estimate the thickness of SiO/sub 2/ ultrathin filmsAzuma, Y. / Fujimoto, T. / Kojima, I. / Shinozaki, A. / Morita, M. et al. | 2002
- 258
-
7P-7-74 Uncertainties Caused from Surface Contaminations to Estimate the Thickness of SiO~2 Ultrathin FilmsAzuma, Y. / Fujimoto, T. / Kojima, I. / Shinozaki, A. / Morita, M. / Japan Society of Applied Physics et al. | 2002
- 260
-
7P-7-75 Analysis of Backscattered Electron Signals in X-Ray Mask InspectionYasuda, M. / Kawata, H. / Japan Society of Applied Physics et al. | 2002
- 260
-
Analysis of backscattered electron signals in X-ray mask inspectionYasuda, M. / Kawata, H. et al. | 2002
- 262
-
7P-7-76 A Novel Low Energy Ion Gun for Ultra-Shallow dopant Depth ProfilingInoue, M. / Shimizu, R. / Mizuhara, Y. / Takai, Y. / Sato, T. / Uta, K. / Japan Society of Applied Physics et al. | 2002
- 262
-
A novel low energy ion gun for ultra-shallow dopant depth profilingInoue, M. / Shimizu, R. / Mizuhara, Y. / Takai, Y. / Sato, T. / Uta, K. et al. | 2002
- 264
-
8A-8-1 9:00 Dependence of Outgassing Characters at 157 nm on Resist StructuresMatsui, Y. / Umeda, S. / Seki, S. / Tagawa, S. / Ishikawa, S. / Itani, T. / Japan Society of Applied Physics et al. | 2002
- 264
-
Dependence of outgassing characters at 157 nm on resist structuresMatsui, Y. / Umeda, S. / Seki, S. / Tagawa, S. / Ishikawa, S. / Itani, T. et al. | 2002
- 266
-
8A-8-2 9:20 VUV Light Induced Outgassing from Resist Polymers: A Study Using In-Situ QCM TechniqueShirai, M. / Shinozuka, T. / Tsunooka, M. / Itani, T. / Japan Society of Applied Physics et al. | 2002
- 266
-
VUV light induced outgassing from resist polymers: a study using in-situ QCM techniqueShirai, M. / Shinozuka, T.I. / Tsunooka, M. / Itani, T. et al. | 2002
- 268
-
8A-8-3 9:40 Sub-10nm Lithography Using Chloromethylated Calixarene ResistIshida, M. / Fujita, J. / Ogura, T. / Ochiai, Y. / Ohshima, E. / Momoda, J. / Japan Society of Applied Physics et al. | 2002
- 268
-
Sub-10nm lithography using chloromethylated calixarene resistIshida, M. / Fujita, J. / Ogura, T. / Ochiai, Y. / Ohshima, E. / Momoda, J. et al. | 2002
- 270
-
8A-8-4 10:00 The Effect of Gel Layer Formation during Development on CDsNakamura, H. / Takeishi, T. / Hayasaki, K. / Ito, S. / Japan Society of Applied Physics et al. | 2002
- 270
-
The effect of gel layer formation during development on CDsNakamura, H. / Takeishi, T. / Hayasaki, K. / Ito, S. et al. | 2002
- 272
-
8B-8-1 9:00 Chip-Based Clinical Assays: Towards Analysis of Physiological Samples (Invited)Ceriotti, L. / Shibata, T. / Linder, V. / Tharmann, W. / Sigristt, H. / de Rooij, N. F. / Verpoorte, E. / Japan Society of Applied Physics et al. | 2002
- 272
-
Chip-based clinical assays: towards analysis of physiological samplesCeriotti, L. / Shibata, T. / Linder, V. / Thormann, W. / Sigrist, H. / de Rooij, N.F. / Verpoorter, E. et al. | 2002
- 274
-
A novel oblong straight-through microchannel for preparing monodisperse emulsionsKobayashi, I. / Nakajima, M. / Kikuchi, Y. / Kyoseok Chun, / Fujita, H. et al. | 2002
- 274
-
8B-8-2 9:30 A Novel Oblong Straight-Through Microchannel for Preparing Monodisperse EmulsionsKobayashi, I. / Nakajima, M. / Kikuchi, Y. / Chun, K. / Fujita, H. / Japan Society of Applied Physics et al. | 2002
- 276
-
PDMS dry etching for higher integration of cells culture microchambers: application to Hep G2 cellsLeclerc, E. / Sakai, Y. / Fujii, T. et al. | 2002
- 276
-
8B-8-3 9:50 PDMS Dry Etching for Higher Integration of Cells Culture Microchambers: Application to Hep G2 CellsLeclerc, E. / Sakai, Y. / Fujii, T. / Japan Society of Applied Physics et al. | 2002
- 278
-
8A-9-1 10:45 Anti-Shrinkage Coating Approach as a Solution Provider to ArF ResistsLee, S.-H. / Kim, H.-D. / Choi, S.-J. / Lee, J.-H. / Cho, H.-K. / Han, W.-S. / Moon, J.-T. / Japan Society of Applied Physics et al. | 2002
- 278
-
Anti-shrinkage coating approach as a solution provider to ArF resistsSi-Hyeung Lee, / Hyung-Do Kim, / Sang-Jun Choi, / Jung-Hyeon Lee, / Han-Ku Cho, / Woo-Sung Han, / Joo-Tae Moon, et al. | 2002
- 280
-
8A-9-2 11:05 Resist Pattern Collapse with Top Rounding Resist ProfileLee, H.-J. / Park, J.-T. / Yoo, J.-Y. / An, I. / Oh, H.-K. / Japan Society of Applied Physics et al. | 2002
- 280
-
Resist pattern collapse with top rounding resist profileHyung-Joo Lee, / Jun-Taek Park, / Ji-Yong Yoo, / Ilsin An, / Hye-Keun Oh, et al. | 2002
- 282
-
Application of electron beam cured spin-on glass to tri-level resist system for deep and vacuum ultraviolet lithographySato, Y. / Abe, J. / Hayashi, H. / Shibata, T. et al. | 2002
- 282
-
8A-9-3 11:25 Application of Electron Beam Cured Spin-On Glass to Tri-Level Resist System for Deep and Vacuum Ultraviolet LithographySato, Y. / Abe, J. / Hayashi, H. / Shibata, T. / Japan Society of Applied Physics et al. | 2002
- 284
-
Ultrathin resist pattern transfer process by filling mask material in the resist patternKato, H. / Matsunaga, K. / Abe, J. / Onishi, Y. et al. | 2002
- 284
-
8A-9-4 11:45 Ultrathin Resist Pattern Transfer Process by Filling Mask Material in the Resist PatternKato, H. / Matsunaga, K. / Abe, J. / Onishi, Y. / Japan Society of Applied Physics et al. | 2002
- 286
-
Molecular nanoelectronics for label free DNA analysisLee, L.P. / Oh, S. / Choi, Y. / Lee, J.S. et al. | 2002
- 286
-
8B-9-1 10:35 Molecular Nanoelectronics for Label Free DNA Analysis (Invited)Lee, L. P. / Oh, S. / Cho, Y. / Lee, J. S. / Japan Society of Applied Physics et al. | 2002
- 288
-
8B-9-2 11:05 Fabrication and Characteristics of an FET-Type Charge Sensor for Detecting DNA SequenceJeong, Y.-T. / Kim, D.-S. / Lyu, H.-K. / Park, H.-J. / Kim, H. S. / Shin, J.-K. / Choi, P. / Lee, J.-H. / Lim, G. / Ishida, M. et al. | 2002
- 288
-
Fabrication and characteristics of an FET-type charge sensor for detecting DNA sequenceYong-Taek Jeong, / Dong-Sun Kim, / Hong-Kun Lyu, / Hey-Jung Park, / Hyoung Sik Kim, / Jang-Kyoo Shin, / Pyung Choi, / Jong-Hyun Lee, / Lim, G. / Ishida, M. et al. | 2002
- 290
-
8B-9-3 11:25 Fabrication and Evaluation of Amperometric Sensors for Micro Flow Cell Using 3-D Comb ElectrodesHonda, N. / Emi, K. / Katagiri, T. / Kawakami, S. / Irita, T. / Shoji, S. / Sato, H. / Homma, T. / Osaka, T. / Japan Society of Applied Physics et al. | 2002
- 290
-
Fabrication and evaluation of amperometric sensors for micro flow cell using 3-D comb electrodesHonda, N. / Emi, K. / Katagiri, T. / Kawakami, S. / Irita, T. / Shoji, S. / Sato, H. / Homma, T. / Osaka, T. et al. | 2002
- 292
-
Microfluidic mixer devices fabricated using high-aspect-ratio glass micromachining technologyIchiki, T. / Watanabe, M. / Sugiyama, Y. / Horiike, Y. et al. | 2002
- 292
-
8B-9-4 11:45 Microfluidic Mixer Devices Fabricated Using High-Aspect-Ratio Glass Micromachining TechnologyIchiki, T. / Watanabe, M. / Sugiyama, Y. / Horiike, Y. / Japan Society of Applied Physics et al. | 2002
- 294
-
8A-10-1 13:30 Imaging Techniques Supporting Low k1 Optical Lithography (Invited)Conley, W. / Nelson-Thomas, C. / Brankner, K. / Wu, W. / Strozewski, K. / Thompson, M. / Lucas, K. / Garza, C. / Yuan, C.-M. / Carter, R. et al. | 2002
- 294
-
Imaging techniques to support low k1 optical lithographyConley, W. / Nelson-Thomas, C. / Brankner, K. / Wei Wu, / Strozewski, K. / Thompson, M. / Lucas, K. / Garza, C. / Chi-Min Yuan, / Carter, R. et al. | 2002
- 296
-
Practical, cost effective sub-wavelength lithographyWeed, J.T. et al. | 2002
- 296
-
8A-10-2 14:00 Practical, Cost Effective Sub-Wavelenght Lithography (Invited)Weed, J. T. / Japan Society of Applied Physics et al. | 2002
- 298
-
8A-10-3 14:30 Exposure Tool Effects on OPCDetje, M. / Hassmann, J. / Kurth, K. / Japan Society of Applied Physics et al. | 2002
- 298
-
Exposure tool effects on OPCDetje, M. / Hassmann, J. / Kurth, K. et al. | 2002
- 300
-
8A-10-4 14:50 Focus Monitoring Utilizing an Aperture in Cr Film on Backside of Photo MaskNakao, S. / Maejima, S. / Ueno, A. / Yamashita, S. / Miyazaki, J. / Kozawa, H. / Tokui, A. / Tsujita, K. / Japan Society of Applied Physics et al. | 2002
- 300
-
Focus monitoring utilizing an aperture in Cr film on backside of photo maskNakao, S. / Maejima, S. / Ueno, A. / Yamashita, S. / Miyazaki, J. / Kozawa, H. / Tokui, A. / Tsujita, K. et al. | 2002
- 302
-
Nanophotonics: the breakthrough technology to control nanostructure by photons with near field and nonlinear opticsKawata, S. et al. | 2002
- 302
-
8B-10-1 13:30 Nanophotonics: The Breakthrough Technology to Control Nanostructure by Photons with Near Field and Nonlinear Optics (Invited)Kawata, S. / Japan Society of Applied Physics et al. | 2002
- 304
-
Study of lateral comb drive actuator with large displacement and low actuation voltageChichung Chen, / Chengkuo Lee, / Yenjyh Lai, / Wen-Chih Chen, et al. | 2002
- 304
-
8B-10-2 14:00 Study of Lateral Comb Drive Actuator with Large Displacement and Low Actuation VoltageChen, C. / Lee, C. / Lai, Y. / Chen, W.-C. / Japan Society of Applied Physics et al. | 2002
- 306
-
8B-10-3 14:20 Fabrication of High Accuracy Micro-Translation-Table for Near-Field Optical Data Storage Actuated by Inverted-Scratch-Drive-ActuatorsKanamori, Y. / Yahagi, H. / Ono, T. / Sasaki, M. / Hane, K. / Japan Society of Applied Physics et al. | 2002
- 306
-
Fabrication of high accuracy micro-translation-table for near-field optical data storage actuated by inverted-scratch-drive-actuatorsKanamori, Y. / Yahagi, H. / Ono, T. / Sasaki, M. / Hane, K. et al. | 2002
- 308
-
Characterizations of adhesion and motion behavior for electrothermal actuatorYen-Jyh Lai, / Chengkuo Lee, / Chia-Yu Wu, / Wen-Chih Chen, / Chihchung Chen, / Weileun Fang, / Yeh, J.-L.A. / Ruey-Shing Huang, et al. | 2002
- 308
-
8B-10-4 14:40 Characterizations of Adhension and Motion Behavior for Electrothermal ActuatorLai, Y.-J. / Lee, C. / Wu, C.-Y. / Chen, W.-C. / Chen, C. / Fang, W. / Yeh, J.-L. A. / Huang, R.-S. / Japan Society of Applied Physics et al. | 2002
- 310
-
Self alignment technology between microlens focal points and near-field optical nano-probe apertures in 2-D micro-optical disk headGoto, K. / Kurihara, K. / Suzuki, K. / Nikolov, I. et al. | 2002
- 310
-
8B-10-5 15:00 Self Alignment Technology between Microlens Focal Points and Near-Field Optical Nano-Probe Apertures in 2-D Micro-Optical Disk HeadGoto, K. / Kurihara, K. / Suzuki, K. / Nikolov, I. / Japan Society of Applied Physics et al. | 2002
- 312
-
Current status and future projections for EUV lithographySweeney, D.W. et al. | 2002
- 312
-
8A-11-1 15:35 Current Status and Future Projections for EUV Lithography (Invited)Sweeney, D. W. / Japan Society of Applied Physics et al. | 2002
- 314
-
Shearing interferometry for at-wavelength wave front measurement of EUV projection opticsZhu, Y. / Sugisaki, K. / Murakami, K. / Ota, K. / Kondo, H. / Ishii, M. / Kawakami, J. / Oshino, T. / Saito, J. / Suzuki, A. et al. | 2002
- 314
-
8A-11-2 16:05 Shearing Interferometry for At-Wavelength Wave Front Measurement of EUV Projection OpticsZhu, Y. / Sugisaki, K. / Murakami, K. / Ota, K. / Kondo, H. / Ishii, M. / Kawakami, J. / Oshino, T. / Saito, J. / Suzuki, A. et al. | 2002
- 316
-
Simulation of scattering from defects in EUV mask blanksIto, M. / Tezuka, Y. / Terasawa, T. et al. | 2002
- 316
-
8A-11-3 16:25 Simulation of Scattering from Defects in EUV Mask BlanksIto, M. / Tezuka, Y. / Terasawa, T. / Japan Society of Applied Physics et al. | 2002
- 318
-
8A-11-4 16:45 Evaluation of the Secondary Electron Blur in X-Ray Lithography by Using the Pattern Replication Technique on the MaskKise, K. / Watanabe, H. / Itoga, K. / Yabe, H. / Sumitani, H. / Amemiya, M. / Japan Society of Applied Physics et al. | 2002
- 318
-
Evaluation of the secondary electron blue in x-ray lithography by using the pattern replication technique on the maskKise, K. / Watanabe, H. / Itoga, K. / Yabe, H. / Sumitani, H. / Amemiya, M. et al. | 2002
- 320
-
Evaporated electron beam sensitive organic resist for the back-patterning of X-ray lithography masksLavallee, E. / Beauvais, J. / Drouin, D. / Cloutier, M. / Mun, L.K. / Awad, Y. / Smith, H.I. / Lim, M.H. / Carter, J. / Schattenburg, M. et al. | 2002
- 320
-
8A-11-5 17:05 Evaporated Electron Beam Sensitive Organic Resist for the Back-Patternening of X-Ray Lithography MasksLavallee, E. / Beauvais, J. / Drouin, D. / Cloutier, M. / Mun, L. K. / Awad, Y. / Smith, H. I. / Lim, M. H. / Carter, J. / Schattenburg, M. et al. | 2002
- 322
-
8A-11-6 17:25 X-Ray Lithography Patterning of Magneti Material and their CharacterizationFabrizio, E. D. / Candeloro, P. / Kumar, R. / Gerardino, A. / Vaccari, L. / Altissimo, M. / Cabrini, S. / Businaro, L. / Cojoc, D. / Feri, F. et al. | 2002
- 322
-
X-ray lithography patterning of magnetic material and their characterizationDi Fabrizio, E. / Candeloro, P. / Kumar, R. / Gerardino, A. / Vaccari, L. / Altissimo, M. / Cabrini, S. / Businaro, L. / Cojoc, D. / Feri, F. et al. | 2002
- 324
-
8A-11-7L 17:45 Study of the Imaging Properties in High N.A. Projection OpticsOtaki, K. / Japan Society of Applied Physics et al. | 2002
- 324
-
Study of the imaging properties in high N.A. projection opticsOtaki, K. et al. | 2002
- 326
-
Self-assembly of micro-stage using micro-origami technique on GaAsKubota, K. / Fleischmann, T. / Saravanan, S. / Vaccaro, P.O. / Aida, T. et al. | 2002
- 326
-
8B-11-1 15:45 Self-Assembly of Micro-Stage Using Micro-Origami Technique on GaAsKubota, K. / Fleischmann, T. / Saravanan, S. / Vaccaro, P. O. / Aida, T. / Japan Society of Applied Physics et al. | 2002
- 328
-
8B-11-2 16:05 Si Wafer Bending Technology for a Three Dimensional Micro Optical BenchIshimori, M. / Song, J. H. / Sasaki, M. / Hane, K. / Japan Society of Applied Physics et al. | 2002
- 328
-
Si wafer bending technology for a three dimensional micro optical benchIshimori, M. / Song, J.H. / Sasaki, M. / Hane, K. et al. | 2002
- 330
-
The novel deflector for multi arrayed microcolumn using MEMS technologyHak Kim, / Changho Han, / Kukjin Chun, et al. | 2002
- 330
-
8B-11-3 16:25 The Novel Deflector for Multi Arrayed Microcolumn Using MEMS TechnologyKim, H. / Han, C. / Chun, K. / Japan Society of Applied Physics et al. | 2002
- 332
-
Micro field emitter with nano-pillarets formed by RIE of photoresistBaba, A. / Yoshida, T. / Asano, T. et al. | 2002
- 332
-
8B-11-4 16:45 Micro Field Emitter with Nano-Pillarets Formed by RIE of PhotoresistBaba, A. / Yoshida, T. / Asano, T. / Japan Society of Applied Physics et al. | 2002
- 334
-
Fabrication of 3-dimensional structure MOSFET embodied in the convex corner of the silicon microfluidic channelGeunbae Lim, / Chin-Sung Park, / Hong-Kun Lyu, / Dong-Sun Kim, / Yong-Taek Jeong, / Hey-Jung Park, / Hyoung Sik Kim, / Jang-Kyoo Shin, / Pyung Choi, / Jong-Hyun Lee, et al. | 2002
- 334
-
8B-11-5 17:05 Fabrication of 3-Dimensional Structure MOSFET Embodied in the Convex Corner of the Silicon Microfluidic ChannelLim, G. / Park, C.-S. / Lyu, H.-K. / Kim, D.-S. / Jeong, Y.-T. / Park, H.-J. / Kim, H. S. / Shin, J.-K. / Choi, P. / Lee, J.-H. et al. | 2002
- 336
-
Joule heating of field emitter tip fabricated on glass substrateHiga, K. / Asano, T. et al. | 2002
- 336
-
8B-11-6 17:25 Joule Heating of Field Emitter Tip Fabricated on Glass SubstrateHiga, K. / Asano, T. / Japan Society of Applied Physics et al. | 2002
- 338
-
Author index| 2002
-
Digest of Papers. Microprocesses and Nanotechnology 2002. 2002 International Microprocesses and Nanotechnology Conference (IEEE Cat. No.02EX589)| 2002