OCD study of critical dimension and line-shape control of shallow-trench-isolation structures [5375-143] (English)
- New search for: Feng, Y.
- New search for: Zhang, X.
- New search for: Cheung, B.
- New search for: Liu, Z.
- New search for: Isao, M.
- New search for: Hayashi, M.
- New search for: International Society for Optical Engineering
- New search for: Feng, Y.
- New search for: Zhang, X.
- New search for: Cheung, B.
- New search for: Liu, Z.
- New search for: Isao, M.
- New search for: Hayashi, M.
- New search for: Silver, Richard M.
- New search for: International Society for Optical Engineering
In:
Metrology, inspection and process control for microlithography
;
1173-1182
;
2004
-
ISBN:
-
ISSN:
- Conference paper / Print
-
Title:OCD study of critical dimension and line-shape control of shallow-trench-isolation structures [5375-143]
-
Contributors:Feng, Y. ( author ) / Zhang, X. ( author ) / Cheung, B. ( author ) / Liu, Z. ( author ) / Isao, M. ( author ) / Hayashi, M. ( author ) / Silver, Richard M. / International Society for Optical Engineering
-
Conference:Conference; 18th, Metrology, inspection and process control for microlithography ; 2004 ; Santa Clara, CA
-
Published in:PROCEEDINGS- SPIE THE INTERNATIONAL SOCIETY FOR OPTICAL ENGINEERING ; 5375 ; 1173-1182
-
Publisher:
- New search for: SPIE
-
Publication date:2004-01-01
-
Size:10 pages
-
ISBN:
-
ISSN:
-
Type of media:Conference paper
-
Type of material:Print
-
Language:English
-
Keywords:
-
Source:
© Metadata Copyright the British Library Board and other contributors. All rights reserved.
Table of contents conference proceedings
The tables of contents are generated automatically and are based on the data records of the individual contributions available in the index of the TIB portal. The display of the Tables of Contents may therefore be incomplete.
- 1
-
Metrology requirements for lithography's next wave (Keynote Paper) [5375-01]Levinson, H. J. / International Society for Optical Engineering et al. | 2004
- 1
-
Metrology requirements for lithography's next waveLevinson, Harry J. et al. | 2004
- 10
-
Low vacuum microscopy for mask metrologyJoy, David C. et al. | 2004
- 10
-
Low vacuum microscopy for mask metrology [5375-02]Joy, D. C. / International Society for Optical Engineering et al. | 2004
- 18
-
Phase defect detection with spatial heterodyne interferometry [5375-04]Bingham, P. R. / Tobin, K. W. / Bennett, M. H. / Marmillion, P. / International Society for Optical Engineering et al. | 2004
- 18
-
Phase defect detection with spatial heterodyne interferometryBingham, Philip R. / Tobin, Kenneth W. / Bennett, Marylyn H. / Marmillion, Pat et al. | 2004
- 29
-
Characterization of new CD photomask standardsMirande, Werner / Bodermann, Bernd / Haessler-Grohne, Wolfgang / Frase, Carl G. / Czerkas, Slawomir / Bosse, Harald et al. | 2004
- 29
-
Characterization of new CD photomask standards [5375-05]Mirande, W. / Bodermann, B. / Hasler-Grohne, W. / Frase, C. G. / Czerkas, S. / Bosse, H. / International Society for Optical Engineering et al. | 2004
- 41
-
Simultaneous critical dimension and overlay measurements on a SEM through target design for inline manufacturing lithography controlSolecky, Eric P. / Morillo, Jaime D. et al. | 2004
- 41
-
Simultaneous critical dimension and overlay measurements on a SEM through target design for inline manufacturing lithography control [5375-06]Solecky, E. P. / Morillo, J. D. / International Society for Optical Engineering et al. | 2004
- 51
-
A new approach to pattern metrologyAusschnitt, Christopher P. et al. | 2004
- 51
-
A new approach to pattern metrology (Invited Paper) [5375-07]Ausschnitt, C. P. / International Society for Optical Engineering et al. | 2004
- 66
-
Scanner overlay mix and match matrix generation: capturing all sources of variationDeMoor, Stephen J. / Brown, Jay M. / Robinson, John C. / Chang, Simon / Tan, Colin et al. | 2004
- 66
-
Scanner overlay mix and match matrix generation: capturing all sources of variation [5375-08]DeMoor, S. J. / Brown, J. M. / Robinson, J. C. / Chang, S. / Tan, C. / International Society for Optical Engineering et al. | 2004
- 78
-
High-resolution optical overlay metrology [5375-09]Silver, R. M. / Attota, R. / Stocker, M. / Bishop, M. / Jun, J. J. / Marx, E. / Davidson, M. P. / Larrabee, R. D. / International Society for Optical Engineering et al. | 2004
- 78
-
High-resolution optical overlay metrologySilver, Richard M. / Attota, Ravikiran / Stocker, Michael / Bishop, Michael / Jun, Jau-Shi J. / Marx, Egon / Davidson, Mark P. / Larrabee, Robert D. et al. | 2004
- 96
-
Alignment in chromeless masks [5375-10]Mukherjee-Roy, M. / Singh, N. / Mehta, S. S. / Suda, H. / Kubota, T. / Kimura, Y. / Kinoshita, H. / International Society for Optical Engineering et al. | 2004
- 96
-
Alignment in chromeless masksMukherjee-Roy, Moitreyee / Singh, Navab / Mehta, Sohan S. / Suda, Hideki / Kubota, Takao / Kimura, Yasuki / Kinoshita, Hiroshi et al. | 2004
- 105
-
Alignment mark signal simulation system for the optimum mark feature selectionSato, Takashi / Endo, Ayako / Higashiki, Tatsuhiko / Ishigo, Kazutaka / Kono, Takuya / Sakamoto, Takashi / Shioyama, Yoshiyuki / Tanaka, Satoshi et al. | 2004
- 105
-
Alignment mark signal simulation system for the optimum mark feature selection [5375-11]Sato, T. / Endo, A. / Higashiki, T. / Ishigo, K. / Kono, T. / Sakamoto, T. / Shioyama, Y. / Tanaka, S. / International Society for Optical Engineering et al. | 2004
- 114
-
Evaluation of alignment performance of different exposure tools under various CMP conditions [5375-12]Abramovich, I. K. / Chung, W.-J. / International Society for Optical Engineering et al. | 2004
- 114
-
Evaluation of alignment performance of different exposure tools under various CMP conditionsAbramovich, Irit K. / Chung, Woong-Jae et al. | 2004
- 122
-
Overlay measurement tool up to 70-nm design ruleFukui, Tatsuo / Aoki, Hiroshi / Endo, Takeshi / Yamada, Tomoaki et al. | 2004
- 122
-
Overlay measurement tool up to 70-nm design rule [5375-13]Fukui, T. / Aoki, H. / Endo, T. / Yamada, T. / International Society for Optical Engineering et al. | 2004
- 133
-
Reducing measurement uncertainty drives the use of multiple technologies for supporting metrologyBanke, Bill / Archie, Charles N. / Sendelbach, Matthew / Robert, Jim / Slinkman, James A. / Kaszuba, Phil / Kontra, Rick / DeVries, Mick / Solecky, Eric P. et al. | 2004
- 133
-
Reducing measurement uncertainty drives the use of multiple technologies for supporting metrology (Invited Paper) [5375-14]Banke, B. / Archie, C. N. / Sendelbach, M. / Robert, J. / Slinkman, J. A. / Kaszuba, P. / Kontra, R. / DeVries, M. / Solecky, E. P. / International Society for Optical Engineering et al. | 2004
- 151
-
Results of benchmarking of advanced CD-SEMs at the 90-nm CMOS technology node [5375-48]Bunday, B. D. / Bishop, M. / Allgair, J. A. / International Society for Optical Engineering et al. | 2004
- 151
-
Results of benchmarking of advanced CD-SEMs at the 90-nm CMOS technology nodeBunday, Benjamin D. / Bishop, Michael / Allgair, John A. et al. | 2004
- 173
-
Quantification of CD-SEM wafer global charging effect on CD and CD uniformity of 193-nm lithographyKe, Chih-Ming / Hung, Hsueh-Liang / Chang, Anderson / Chen, Jeng-Horng / Gau, Tsai-Sheng / Ku, Yao-Ching / Lin, Burn J. / Otaka, Tadashi / Ueda, Kazuhiro / Kawada, Hiroki et al. | 2004
- 173
-
Quantification of CD-SEM wafer global charging effect on CD and CD uniformity of 193-nm lithography [5375-18]Ke, C.-M. / Hung, H.-L. / Chang, A. / Chen, J.-H. / Gau, T.-S. / Ku, Y.-C. / Lin, B. J. / Otaka, T. / Ueda, K. / Kawada, H. et al. | 2004
- 183
-
CD metrology for the 45-nm and 32-nm nodesRice, Bryan J. / Cao, Heidi B. / Chaudhuri, Ovijut / Grumski, Michael G. / Harteneck, Bruce D. / Liddle, Alex / Olynick, Deidre / Roberts, Jeanette M. et al. | 2004
- 183
-
CD metrology for the 45-nm and 32-nm nodes [5375-16]Rice, B. J. / Cao, H. B. / Chaudhuri, O. / Grumski, M. G. / Harteneck, B. D. / Liddle, A. / Olynick, D. / Roberts, J. M. / International Society for Optical Engineering et al. | 2004
- 191
-
Preliminary evaluation of line-edge roughness metrology based on CD-SAXS [5375-54]Jones, R. L. / Hu, T. / Soles, C. L. / Lin, E. K. / Wu, W. / Casa, D. M. / Mahorowala, A. / International Society for Optical Engineering et al. | 2004
- 191
-
Preliminary evaluation of line-edge roughness metrology based on CD-SAXSJones, Ronald L. / Hu, Tengjiao / Soles, Christopher L. / Lin, Eric K. / Wu, Wen-li / Casa, Diego M. / Mahorowala, Arpan et al. | 2004
- 199
-
Dimensional metrology of resist lines using a SEM model-based library approach [5375-19]Villarrubia, J. S. / Vladar, A. E. / Bunday, B. D. / Bishop, M. / International Society for Optical Engineering et al. | 2004
- 199
-
Dimensional metrology of resist lines using a SEM model-based library approachVillarrubia, John S. / Vladar, Andras E. / Bunday, Benjamin D. / Bishop, Michael et al. | 2004
- 210
-
A new analysis strategy for CD metrology using rapid photo goniometry methodPetit, Jerome / Barritault, Pierre / Hazart, Jerome / Chaton, Patrick / Boher, Pierre / Luet, Mathieu / Leroux, Thierry et al. | 2004
- 210
-
A new analysis strategy for CD metrology using rapid photo goniometry method [5375-20]Petit, J. / Barritault, P. / Hazart, J. / Chaton, P. / Boher, P. / Luet, M. / Leroux, T. / International Society for Optical Engineering et al. | 2004
- 222
-
Improved overlay metrology device correlation on 90-nm logic processes [5375-21]Ueno, A. / Tsujita, K. / Kurita, H. / Iwata, Y. / Ghinovker, M. / Poplawski, J. M. / Kassel, E. / Adel, M. E. / International Society for Optical Engineering et al. | 2004
- 222
-
Improved overlay metrology device correlation on 90-nm logic processesUeno, Atsushi / Tsujita, Kouichirou / Kurita, Hiroyuki / Iwata, Yasuhisa / Ghinovker, Mark / Poplawski, Jorge M. / Kassel, Elyakim / Adel, Mike E. et al. | 2004
- 232
-
Effective-medium model for fast evaluation of scatterometric measurements on gratingsWeidner, Andrea / Slodowski, Matthias / Halm, Christian / Schneider, Claus / Pfitzner, Lothar et al. | 2004
- 232
-
Effective-medium model for fast evaluation of scatterometric measurements on gratings [5375-22]Weidner, A. / Slodowski, M. / Halm, C. / Schneider, C. / Pfitzner, L. / International Society for Optical Engineering et al. | 2004
- 244
-
A simple robust bias-free method of calculating CD-SEM resolution [5375-23]Rosenberg, I. J. / International Society for Optical Engineering et al. | 2004
- 244
-
A simple robust bias-free method of calculating CD-SEM resolutionRosenberg, Ira J. et al. | 2004
- 254
-
Usage of overlay metrology simulator in design of overlay metrology tools for the 65-nm node and beyond [5375-24]Simovitch, Y. / Gov, S. / International Society for Optical Engineering et al. | 2004
- 254
-
Usage of overlay metrology simulator in design of overlay metrology tools for the 65-nm node and beyondSimovitch, Yariv / Gov, Shahar et al. | 2004
- 266
-
Effects of different processing conditions on line-edge roughness for 193-nm and 157-nm resists [5375-25]Ercken, M. / Leunissen, L. H. A. / Pollentier, I. / Patsis, G. P. / Constantoudis, V. / Gogolides, E. / International Society for Optical Engineering et al. | 2004
- 266
-
Effects of different processing conditions on line-edge roughness for 193-nm and 157-nm resistsErcken, Monique / Leunissen, Leonardus H. A. / Pollentier, Ivan / Patsis, George P. / Constantoudis, Vassilios / Gogolides, Evangelos et al. | 2004
- 276
-
Across-wafer CD uniformity enhancement through control of multizone PEB profiles [5375-26]Zhang, Q. / Friedberg, P. D. / Tang, C. / Singh, B. / Poolla, K. / Spanos, C. J. / International Society for Optical Engineering et al. | 2004
- 276
-
Across-wafer CD uniformity enhancement through control of multizone PEB profilesZhang, Qiaolin / Friedberg, Paul D. / Tang, Cherry / Singh, Bhanwar / Poolla, Kameshwar / Spanos, Costas J. et al. | 2004
- 287
-
Assessments on process parameters' influences to the proximity correctionLee, Eun-Mi / Lee, Sung-Woo / Lee, Doo-Youl / Choi, Soo-Han / Park, Joo-On / Jung, Sung-Gon / Yeo, Gi-Sung / Lee, Jung-Hyeon / Cho, Han-Ku / Han, Woo-Sung et al. | 2004
- 287
-
Assessments on process parameters' influences to the proximity correction [5375-27]Lee, E.-M. / Lee, S.-W. / Lee, D.-Y. / Choi, S.-H. / Park, J.-O. / Jung, S.-G. / Yeo, G.-S. / Lee, J.-H. / Cho, H.-K. / Han, W.-S. et al. | 2004
- 296
-
Multivariate analysis of a 100-nm process measured by in-line scatterometryEgret, Sebastien / Furusho, Tetsunari / Baudemprez, Bart et al. | 2004
- 296
-
Multivariate analysis of a 100-nm process measured by in-line scatterometry [5375-28]Egret, S. / Furusho, T. / Baudemprez, B. / International Society for Optical Engineering et al. | 2004
- 307
-
Scatterometry feasibility studies for 0.13-micron flash memory lithography applications: enabling integrated metrologyLensing, Kevin R. / Miller, Clint / Chudleigh, Geoff / Swain, Bryan / Laughery, Michael / Viswanathan, Anita et al. | 2004
- 307
-
Scatterometry feasibility studies for 0.13-micron flash memory lithography applications: enabling integrated metrology [5375-79]Lensing, K. R. / Miller, C. / Chudleigh, G. / Swain, B. / Laughery, M. / Viswanathan, A. / International Society for Optical Engineering et al. | 2004
- 317
-
Improving the uncertainty of photomask linewidth measurements [5375-31]Pedulla, J. M. / Potzick, J. / Silver, R. M. / International Society for Optical Engineering et al. | 2004
- 317
-
Improving the uncertainty of photomask linewidth measurementsPedulla, J. M. / Potzick, James / Silver, Richard M. et al. | 2004
- 328
-
A new optical technique for monitoring wafer curvature and stress during copper damascene processingBoye, Carol A. / Carpio, Ronald / Woodring, Jennifer / Owen, David M. et al. | 2004
- 328
-
A new optical technique for monitoring wafer curvature and stress during copper damascene processing [5375-39]Boye, C. A. / Carpio, R. / Woodring, J. / Owen, D. M. / International Society for Optical Engineering et al. | 2004
- 337
-
Contact hole edge roughness: circles vs. stars [5375-33]Habermas, A. / Lu, Q. / Chase-Colin, D. / Har-Zvi, M. / Tam, A. / Sagi, O. / International Society for Optical Engineering et al. | 2004
- 337
-
Contact hole edge roughness: circles vs. starsHabermas, Andrew / Lu, Qingyou / Chase-Colin, David / Har-Zvi, Michael / Tam, Aviram / Sagi, Omer et al. | 2004
- 346
-
Defect inspection of quartz-PSMs: taking a leap forwardHeumann, Jan P. / Schurack, Frank / Dettmann, Wolfgang / Zurbrick, Larry / Lang, Michael et al. | 2004
- 346
-
Defect inspection of quartz-PSMs: taking a leap forward [5375-34]Heumann, J. P. / Schurack, F. / Dettmann, W. / Zurbrick, L. / Lang, M. / International Society for Optical Engineering et al. | 2004
- 355
-
Reticle surface contaminants and their relationship to sub-pellicle defect formation [5375-35]Grenon, B. J. / Bhattacharyya, K. / Volk, W. W. / Phan, K. A. / Poock, A. / International Society for Optical Engineering et al. | 2004
- 355
-
Reticle surface contaminants and their relationship to sub-pellicle defect formationGrenon, Brian J. / Bhattacharyya, Kaustuve / Volk, William W. / Phan, Khoi A. / Poock, Andre et al. | 2004
- 363
-
An image stitching method to eliminate the distortion of the sidewall in linewidth measurement [5375-37]Zhao, X. / Fu, J. / Chu, W. / Nguyen, C. / Vorburger, T. V. / International Society for Optical Engineering et al. | 2004
- 363
-
An image stitching method to eliminate the distortion of the sidewall in linewidth measurementZhao, Xuezeng / Fu, Joseph / Chu, Wei / Nguyen, Cattien / Vorburger, Theodore V. et al. | 2004
- 374
-
Comparison of actinic lens characterization by aerial image evaluation and interferometric testing for 157-nm high-NA micro-objectivesSchreiber, Horst et al. | 2004
- 374
-
Comparison of actinic lens characterization by aerial image evaluation and interferometric testing for 157-nm high-NA micro-objectives [5375-41]Schreiber, H. / International Society for Optical Engineering et al. | 2004
- 384
-
A comparison of methods for in-chip overlay control at the 65-nm node [5375-42]Robinson, J. C. / Stakely, M. / Poplawski, J. M. / Izikson, P. / Kassel, E. / Adel, M. E. / International Society for Optical Engineering et al. | 2004
- 384
-
A comparison of methods for in-chip overlay control at the 65-nm nodeRobinson, John C. / Stakely, Mark / Poplawski, Jorge M. / Izikson, Pavel / Kassel, Elyakim / Adel, Mike E. et al. | 2004
- 395
-
Evaluation of new in-chip and arrayed line overlay target designsAttota, Ravikiran / Silver, Richard M. / Bishop, Michael / Marx, Egon / Jun, Jau-Shi J. / Stocker, Michael / Davidson, Mark P. / Larrabee, Robert D. et al. | 2004
- 395
-
Evaluation of new in-chip and arrayed line overlay target designs [5375-43]Attota, R. / Silver, R. M. / Bishop, M. / Marx, E. / Jun, J. J. / Stocker, M. / Davidson, M. P. / Larrabee, R. D. / International Society for Optical Engineering et al. | 2004
- 403
-
Target noise in overlay metrologySeligson, Joel L. / Adel, Mike E. / Izikson, Pavel / Levinski, Vladimir / Yaffe, Dan et al. | 2004
- 403
-
Target noise in overlay metrology [5375-44]Seligson, J. L. / Adel, M. E. / Izikson, P. / Levinski, V. / Yaffe, D. / International Society for Optical Engineering et al. | 2004
- 413
-
The estimation of total measurement uncertainty in a multiple metrology tool environmentHwu, Justin J. / Pham, Thao J. / Dulay, Sukhbir / Lopez, Andrew / Wilkens, Peter et al. | 2004
- 413
-
The estimation of total measurement uncertainty in a multiple metrology tool environment [5375-45]Hwu, J. J. / Pham, T. J. / Dulay, S. / Lopez, A. / Wilkens, P. / International Society for Optical Engineering et al. | 2004
- 426
-
Characterization of a 100-nm 1D pitch standard by metrological SEM and SFM [5375-46]Hasler-Grohne, W. / Dziomba, T. / Frase, C. G. / Bosse, H. / Prochazka, J. / International Society for Optical Engineering et al. | 2004
- 426
-
Characterization of a 100-nm 1D pitch standard by metrological SEM and SFMHaessler-Grohne, Wolfgang / Dziomba, Thorsten / Frase, Carl G. / Bosse, Harald / Prochazka, Jerry et al. | 2004
- 437
-
OPC accuracy and process window verification methodology for sub-100-nm nodeYang, Hyunjo / Park, Chanha / Hong, Jongkyun / Jeong, Goomin / Cho, Byeongho / Choi, Jaeseung / Kang, Choonsu / Yang, Kiho / Kang, Eunsook / Ji, Seokho et al. | 2004
- 437
-
OPC accuracy and process window verification methodology for sub-100-nm node [5375-47]Yang, H. / Park, C. / Hong, J. / Jeong, G. / Cho, B. / Choi, J. / Kang, C. / Yang, K. / Kang, E. / Ji, S. et al. | 2004
- 444
-
Study of 3D metrology techniques as an alternative to cross-sectional analysis at the R&D levelFoucher, Johann / Miller, Kirk et al. | 2004
- 444
-
Study of 3D metrology techniques as an alternative to cross-sectional analysis at the R&D level [5375-49]Foucher, J. / Miller, K. / International Society for Optical Engineering et al. | 2004
- 456
-
3D features analysis using spectroscopic scatterometry [5375-50]Quintanilha, R. / Thony, P. / Henry, D. / Hazart, J. / International Society for Optical Engineering et al. | 2004
- 456
-
3D features analysis using spectroscopic scatterometryQuintanilha, Richard / Thony, Philippe / Henry, Daniel / Hazart, Jerome et al. | 2004
- 468
-
Metrology of LER: influence of line-edge roughness (LER) on transistor performance [5375-51]Yamaguchi, A. / Ichinose, K. / Shimamoto, S. / Fukuda, H. / Tsuchiya, R. / Ohnishi, K. / Kawada, H. / Iizumi, T. / International Society for Optical Engineering et al. | 2004
- 468
-
Metrology of LER: influence of line-edge roughness (LER) on transistor performanceYamaguchi, Atsuko / Ichinose, Katsuhiko / Shimamoto, Satoshi / Fukuda, Hiroshi / Tsuchiya, Ryuta / Ohnishi, Kazuhiro / Kawada, Hiroki / Iizumi, Takashi et al. | 2004
- 477
-
193-nm resist roughness characterization and process propagation investigation using a CD-SEM [5375-52]Marschner, T. / Lee, A. / Fuchs, S. / Volkel, L. / Stief, C. / International Society for Optical Engineering et al. | 2004
- 477
-
193-nm resist roughness characterization and process propagation investigation using a CD-SEMMarschner, Thomas / Lee, Anice / Fuchs, Stefan / Voelkel, Lars / Stief, Christian et al. | 2004
- 486
-
Improved etch and CMP process control using in-line AFM [5375-53]Trenkler, T. / Kraiss, T. / Mantz, U. / Weidner, P. / Pinto, R. H. / International Society for Optical Engineering et al. | 2004
- 486
-
Improved etch and CMP process control using in-line AFMTrenkler, Thomas / Kraiss, Thomas / Mantz, Ulrich / Weidner, Peter / Pinto, Rebecca H. et al. | 2004
- 494
-
Methods for evaluating lithographic performance of exposure tools for the 45-nm node: ECD and scatterometryHuang, Karen / Rice, Bryan J. / Coombs, Brian / Freed, Regina et al. | 2004
- 494
-
Methods for evaluating lithographic performance of exposure tools for the 45-nm node: ECD and scatterometry [5375-65]Huang, K. / Rice, B. J. / Coombs, B. / Freed, R. / International Society for Optical Engineering et al. | 2004
- 503
-
Total measurement uncertainty and total process precision evaluation of a structural metrology approach to monitoring post-CMP processesLu, Wei / Archie, Charles N. / Stone, Stacey / Kang, Hyoung H. / Chitturi, Prasanna R. et al. | 2004
- 503
-
Total measurement uncertainty and total process precision evaluation of a structural metrology approach to monitoring post-CMP processes [5375-57]Lu, W. / Archie, C. N. / Stone, S. / Kang, H. H. / Chitturi, P. R. / International Society for Optical Engineering et al. | 2004
- 515
-
Determination of optimal parameters for CD-SEM measurement of line-edge roughness [5375-15]Bunday, B. D. / Bishop, M. / McCormack, D. W. / Villarrubia, J. S. / Vladar, A. E. / Dixson, R. / Vorburger, T. V. / Orji, N. G. / Allgair, J. A. / International Society for Optical Engineering et al. | 2004
- 515
-
Determination of optimal parameters for CD-SEM measurement of line-edge roughnessBunday, Benjamin D. / Bishop, Michael / McCormack, Donald W. / Villarrubia, John S. / Vladar, Andras E. / Dixson, Ronald / Vorburger, Theodore V. / Orji, N. G. / Allgair, John A. et al. | 2004
- 534
-
Contaminant dry-down rates in photolithography purge gases [5375-171]Tram, A. / Holmes, R. J. / Spiegelman, J. J. / Alvarez, D. / International Society for Optical Engineering et al. | 2004
- 534
-
Contaminant dry-down rates in photolithography purge gasesTram, Allan / Holmes, Russell J. / Spiegelman, Jeffrey J. / Alvarez, Daniel et al. | 2004
- 541
-
Successful application of angular scatterometry to process control in sub-100-nm DRAM deviceKim, Jin-ah / Kim, Seong-Jin / Chin, Soo-Bok / Oh, Seok-Hwan / Goo, Doo-Hoon / Lee, Suk-Joo / Woo, Sang-Gyun / Cho, Han-Ku / Han, Woo-Sung / Moon, Joo-Tae et al. | 2004
- 541
-
Successful application of angular scatterometry to process control in sub- 100-nm DRAM device [5375-56]Kim, J.-A. / Kim, S.-J. / Chin, S.-B. / Oh, S.-H. / Goo, D. / Lee, S.-J. / Woo, S.-G. / Cho, H.-K. / Han, W.-S. / Moon, J.-T. et al. | 2004
- 550
-
Correlating scatterometry to CD-SEM and electrical gate measurements at the 90-nm node using TMU analysis [5375-60]Sendelbach, M. / Archie, C. N. / Banke, B. / Mayer, J. / Nii, H. / Herrera, P. / Hankinson, M. / International Society for Optical Engineering et al. | 2004
- 550
-
Correlating scatterometry to CD-SEM and electrical gate measurements at the 90-nm node using TMU analysisSendelbach, Matthew / Archie, Charles N. / Banke, Bill / Mayer, Jason / Nii, Hideaki / Herrera, Pedro / Hankinson, Matt et al. | 2004
- 564
-
Comparison of solutions to the scatterometry inverse problem [5375-61]Raymond, C. J. / Littau, M. E. / Chuprin, A. / Ward, S. / International Society for Optical Engineering et al. | 2004
- 564
-
Comparison of solutions to the scatterometry inverse problemRaymond, Christopher J. / Littau, Michael E. / Chuprin, Andrei / Ward, Simon et al. | 2004
- 576
-
Optimization of scatterometry parameters for shallow trench isolation (STI) monitorLeray, Philippe J. / Cheng, Shaunee / Kremer, Stephanie / Ercken, Monique / Pollentier, Ivan et al. | 2004
- 576
-
Optimization of scatterometry parameters for shallow trench isolation (STI) monitor [5375-62]Leray, P. J. / Cheng, S. / Kremer, S. / Ercken, M. / Pollentier, I. / International Society for Optical Engineering et al. | 2004
- 587
-
Spectroscopic ellipsometry-based scatterometry for depth and linewidth measurements of polysilicon-filled deep trenchesHingst, Thomas / Moert, Manfred / Reinig, Peter / Backen, Elke / Dost, Rene / Weidner, Peter / Hopkins, John / Dziura, Ted G. / Elazami, Assim / Freed, Regina et al. | 2004
- 587
-
Spectroscopic ellipsometry-based scatterometry for depth and linewidth measurements of polysilicon-filled deep trenches [5375-63]Hingst, T. / Moert, M. / Reinig, P. / Backen, E. / Dost, R. / Weidner, P. / Hopkins, J. / Dziura, T. G. / Elazami, A. / Freed, R. et al. | 2004
- 597
-
90-nm lithography process characterization using ODP scatterometry technologyKe, Chih-Ming / Yu, Shinn-Sheng / Wang, Yu-Hsi / Chou, Yu-Jun / Chen, Jeng-Horng / Lee, Bih-Huey / Chu, Hong-Yuan / Lin, Hua-Tai / Gau, Tsai-Sheng / Lin, Chih-Hsiang et al. | 2004
- 597
-
90-nm lithography process characterization using ODP scatterometry technology [5375-17]Ke, C.-M. / Yu, S.-S. / Wang, Y.-H. / Chou, Y.-J. / Chen, J.-H. / Lee, B.-H. / Chu, H.-Y. / Lin, H.-T. / Gau, T.-S. / Lin, C.-H. et al. | 2004
- 605
-
CD-SEM-based critical shape metrology of integrated circuits [5375-66]Gorelikov, D. V. / Remillard, J. / Sullivan, N. T. / International Society for Optical Engineering et al. | 2004
- 605
-
CD-SEM-based critical shape metrology of integrated circuitsGorelikov, Dmitry V. / Remillard, Jason / Sullivan, Neal T. et al. | 2004
- 614
-
Electrical linewidth metrology for sub-65-nm applications [5375-58]Storms, G. / Cheng, S. / Pollentier, I. / International Society for Optical Engineering et al. | 2004
- 614
-
Electrical linewidth metrology for sub-65-nm applicationsStorms, Greet / Cheng, Shaunee / Pollentier, Ivan et al. | 2004
- 623
-
Experimental methodology of contact edge roughness on sub-100-nm patternLee, Tae Yong / Ihm, Dongchul / Kang, Hyo Chun / Lee, Jun Bum / Lee, Byoung-Ho / Chin, Soo-Bok / Cho, Do-Hyun / Kim, Yang Hyong / Yang, Ho Dong / Yang, Kyoung Mo et al. | 2004
- 623
-
Experimental methodology of contact edge roughness on sub-100-nm pattern [5375-68]Lee, T. Y. / Ihm, D. / Kang, H. C. / Lee, J. B. / Lee, B. H. / Chin, S. B. / Cho, D. H. / Kim, Y. H. / Yang, H. D. / Yang, K. M. et al. | 2004
- 633
-
Reference metrology using a next-generation CD-AFMDixson, Ronald / Guerry, Angela et al. | 2004
- 633
-
Reference metrology using a next-generation CD-AFM [5375-69]Dixson, R. / Guerry, A. / International Society for Optical Engineering et al. | 2004
- 647
-
Sub-50-nm isolated line and trench width artifacts for CD metrology [5375-59]Tortonese, M. / Lorusso, G. / Blanquies, R. M. / Prochazka, J. / Grella, L. / International Society for Optical Engineering et al. | 2004
- 647
-
Sub-50-nm isolated line and trench width artifacts for CD metrologyTortonese, Marco / Lorusso, Gian / Blanquies, Rene M. / Prochazka, Jerry / Grella, Luca et al. | 2004
- 657
-
193-nm resist shrinkage carryover effect to a post-etch layer due to CD-SEM measurementCao, Gary X. / Wheeler, Nancy J. / Wong, Alan S. et al. | 2004
- 657
-
193-nm resist shrinkage carryover effect to a post-etch layer due to CD-SEM measurement [5375-70]Cao, G. X. / Wheeler, N. J. / Wong, A. S. / International Society for Optical Engineering et al. | 2004
- 665
-
Damage-free metrology of porous low-k dielectrics using CD-SEM [5375-71]Cheng, Z. H. / Nozoe, M. / Ezumi, M. / International Society for Optical Engineering et al. | 2004
- 665
-
Damage-free metrology of porous low-k dielectrics using CD-SEMCheng, Zhao-Hui / Nozoe, Mari / Ezumi, Makoto et al. | 2004
- 675
-
Low-impact resist metrology: the use of ultralow voltage for high-accuracy performanceSundaram, Ganesh / Sullivan, Neal T. / Mai, Tung / Ke, Chih-Ming et al. | 2004
- 675
-
Low-impact resist metrology: the use of ultralow voltage for high-accuracy performance [5375-72]Sundaram, G. / Sullivan, N. T. / Mai, T. / Ke, C.-M. / International Society for Optical Engineering et al. | 2004
- 686
-
Feedforward of mask open measurements on an integrated scatterometer to improve gate linewidth controlSendelbach, Matthew / Natzle, Wesley / Archie, Charles N. / Banke, Bill / Prager, Dan / Engelhard, Dan / Ferns, Jason / Yamashita, Asao / Funk, Merritt / Higuchi, Fumihiko et al. | 2004
- 686
-
Feedforward of mask open measurements on an integrated scatterometer to improve gate linewidth control [5375-73]Sendelbach, M. / Natzle, W. / Archie, C. N. / Banke, B. / Prager, D. / Engelhard, D. / Ferns, J. / Yamashita, A. / Funk, M. / Higuchi, F. et al. | 2004
- 703
-
Time-based PEB adjustment for optimizing CD distributions [5375-74]Friedberg, P. D. / Tang, C. / Singh, B. / Brueckner, T. / Grundke, W. / Schulz, B. / Spanos, C. J. / International Society for Optical Engineering et al. | 2004
- 703
-
Time-based PEB adjustment for optimizing CD distributionsFriedberg, Paul D. / Tang, Cherry / Singh, Bhanwar / Brueckner, Thomas / Gruendke, Wolfram / Schulz, Bernd / Spanos, Costas J. et al. | 2004
- 713
-
Logic gate scanner focus control in high-volume manufacturing using scatterometryDare, Richard J. / Swain, Bryan / Laughery, Michael et al. | 2004
- 713
-
Logic gate scanner focus control in high-volume manufacturing using scatterometry [5375-75]Dare, R. J. / Swain, B. / Laughery, M. / International Society for Optical Engineering et al. | 2004
- 721
-
Lithography process window analysis with calibrated modelZhou, Wenzhan / Yu, Jin / Lo, James / Liu, Johnson et al. | 2004
- 721
-
Lithography process window analysis with calibrated model [5375-29]Zhou, W. / Yu, J. / Lo, J. / Liu, J. / International Society for Optical Engineering et al. | 2004
- 727
-
New technique to reconstruct effective 3D profile from tilt images of CD-SEMMorokuma, Hidetoshi / Miyamoto, Atsushi / Tanaka, Maki / Kazui, Masato / Takane, Atsushi et al. | 2004
- 727
-
New technique to reconstruct effective 3D profile from tilt images of CD-SEM [5375-67]Morokuma, H. / Miyamoto, A. / Tanaka, M. / Kazui, M. / Takane, A. / International Society for Optical Engineering et al. | 2004
- 735
-
Overlay advanced process control for foundry applicationWan, Xudong / Zhou, Andy / Zhang, Fjord / Li, Jerry / Gu, Xiaolan / Mos, Evert C. / Kisteman, Aernout / Wang, Vivien / Schuurhuis, Ron et al. | 2004
- 735
-
Overlay advanced process control for foundry application [5375-76]Wan, X. / Zhou, A. / Zhang, F. / Li, J. / Gu, X. / Mos, E. C. / Kisteman, A. / Wang, V. / Schuurhuis, R. / International Society for Optical Engineering et al. | 2004
- 744
-
A high-resolution contamination-mode inspection method providing a complete solution to the inspection challenges for advanced photomasks [5375-77]Bhattacharyya, K. / Huang, Y.-T. / Son, K. / Wang, D. / Liu, L. / Liao, C. H. / Dai, Y.-M. / Lin, J.-C. / International Society for Optical Engineering et al. | 2004
- 744
-
A high-resolution contamination-mode inspection method providing a complete solution to the inspection challenges for advanced photomasksBhattacharyya, Kaustuve / Huang, Yao-Tsu / Son, Kong / Wang, Den / Liu, Louie / Liao, C. H. / Dai, Yi-Ming / Lin, Jyh-Ching et al. | 2004
- 753
-
Belly button reduction using optimized resist filtration method in CMOS gate pattern processBaik, Jeong-Heon / Lee, Dong-Jin / Lee, Sung-Ho / Park, Sun-Hyung / Lee, Il-Ho / Choi, Jae-Sung et al. | 2004
- 753
-
Belly button reduction using optimized resist filtration method in CMOS gate pattern process [5375-80]Baik, J.-H. / Lee, D.-J. / Lee, S.-H. / Park, S.-H. / Lee, I.-H. / Choi, J.-S. / International Society for Optical Engineering et al. | 2004
- 761
-
Overlay errors induced by metallic stress: mechanism and solutions [5375-81]Yen, Y. / Chang, C. / Lin, F. / Su, J. / Yang, T. / International Society for Optical Engineering et al. | 2004
- 761
-
Overlay errors induced by metallic stress: mechanism and solutionsYen, Yulin / Chang, Charles / Lin, Francis / Su, Jason / Yang, Tahone et al. | 2004
- 771
-
Infrared spectroscopic ellipsometry in semiconductor manufacturingGuittet, Pierre-Yves / Mantz, Ulrich / Weidner, Peter / Stehle, Jean-Louis / Bucchia, Marc / Bourtault, Sophie / Zahorski, Dorian et al. | 2004
- 771
-
Infrared spectroscopic ellipsometry in semiconductor manufacturing [5375-82]Guittet, P.-Y. / Mantz, U. / Weidner, P. / Stehle, J.-L. / Bucchia, M. / Bourtault, S. / Zahorski, D. / International Society for Optical Engineering et al. | 2004
- 779
-
Defect learning with 193-nm resistsMaege, Iris / Pinter, Beatrix / Tuckermann, Martin / Donzella, Oreste et al. | 2004
- 779
-
Defect learning with 193-nm resists [5375-83]Mage, I. / Pinter, B. / Tuckermann, M. / Donzella, O. / International Society for Optical Engineering et al. | 2004
- 792
-
Development of high-repetition-rate molecular fluorine lasers for metrology and inspection [5375-84]Huber, H. P. / Bauer, M. / Gortler, A. J. / Strowitzki, C. F. / Hohla, A. / International Society for Optical Engineering et al. | 2004
- 792
-
Development of high-repetition-rate molecular fluorine lasers for metrology and inspectionHuber, Heinz P. / Bauer, Michael / Goertler, Andreas J. / Strowitzki, Claus F. / Hohla, Alexander et al. | 2004
- 798
-
Production control of shallow trench isolation (STI) at the 130-nm node using spectroscopic ellipsometry-based profile metrologyPeters, Robert M. / Chiao, Ray H. / Eckert, Timothy / Labra, Rene / Nappa, Dario / Tang, Susan / Washington, Jarvis et al. | 2004
- 798
-
Production control of shallow trench isolation (STI) at the 130-nm node using spectroscopic ellipsometry-based profile metrology [5375-85]Peters, R. M. / Chiao, R. H. / Eckert, T. / Labra, R. / Nappa, D. / Tang, S. / Washington, J. / International Society for Optical Engineering et al. | 2004
- 807
-
Are ambient SO2levels a valid indicator of projected acid gas filter life?Dallas, Andrew J. / Ding, Lefei / Joriman, Jon / Hoang, Brian / Parsons, Jonathan G. / Seguin, Kevin et al. | 2004
- 807
-
Are ambient SO~2 levels a valid indicator of projected acid gas filter life? [5375-86]Dallas, A. J. / Ding, L. / Joriman, J. / Hoang, B. / Parsons, J. G. / Seguin, K. / International Society for Optical Engineering et al. | 2004
- 819
-
Automated defect cross-sectioning with an in-line DualBeam [5375-88]Blanc-Coquand, S. / Hinschberger, B. / Rouchouze, E. / Sicurani, E. / Castagna, M. / Weschler, M. / Dworkin, L. / Renard, D. / Panyasak, A. / International Society for Optical Engineering et al. | 2004
- 819
-
Automated defect cross-sectioning with an in-line DualBeamBlanc-Coquand, Stephanie / Hinschberger, Benoit / Rouchouze, Eric / Sicurani, Emmanuel / Castagna, Marc / Weschler, Matthew / Dworkin, Larry / Renard, Didier / Panyasak, Atsavinn et al. | 2004
- 827
-
Fine tune W-CMP process with alignment mark selection for optimal metal layer overlay and yield benefits [5375-89]Cui, Y. / So, A. / Louks, S. / International Society for Optical Engineering et al. | 2004
- 827
-
Fine tune W-CMP process with alignment mark selection for optimal metal layer overlay and yield benefitsCui, Yuanting / So, Albert / Louks, Sean et al. | 2004
- 839
-
Metrology exposure-induced resist and ARC damage [5375-90]Yu, J. / Viswanathan, A. / Miyagi, M. / Uchida, J. / Lane, L. / Barry, K. A. / Kajitani, M. / Kikuchi, T. / Chan, K. C. / Stanke, F. E. et al. | 2004
- 839
-
Metrology exposure-induced resist and ARC damageYu, Jackie / Viswanathan, Anita / Miyagi, Mokoto / Uchida, Junichi / Lane, Lawrence / Barry, Kelly A. / Kajitani, Machi / Kikuchi, Toshihiko / Chan, K. C. / Stanke, Fred E. et al. | 2004
- 849
-
Optical characterization of defects on patterned wafers: exploring light polarization [5375-92]Lee, B. H. / Chin, S.-B. / Cho, D. H. / Song, C.-L. / Yeo, J.-H. / Some, D. / Reinhorn, S. / International Society for Optical Engineering et al. | 2004
- 849
-
Optical characterization of defects on patterned wafers: exploring light polarizationLee, Byoung Ho / Chin, Soo-Bok / Cho, Do Hyun / Song, Chang-Lyong / Yeo, Jeong-Ho / Some, Daniel / Reinhorn, Silviu et al. | 2004
- 859
-
Electrical defect SEM review under the various electric circumstances on SAC layerLee, Tae Yong / Whan, Nam-Koong / Lee, Byoung Ho / Chin, Soo-Bok / Cho, Do Hyun / Choi, Jong Il / Hur, Seo Shik / Ko, Ki Hwa / Yeo, Jeong-Ho et al. | 2004
- 859
-
Electrical defect SEM review under the various electric circumstances on SAC layer [5375-93]Lee, T. Y. / Whan, N.-K. / Lee, B. H. / Chin, S.-B. / Cho, D. H. / Choi, J. I. / Hur, S. S. / Ko, K. H. / Yeo, J.-H. / International Society for Optical Engineering et al. | 2004
- 865
-
Influence of line-edge roughness on MOSFET devices with sub-50-nm gates [5375-94]Shibata, K. / Izumi, N. / Tsujita, K. / International Society for Optical Engineering et al. | 2004
- 865
-
Influence of line-edge roughness on MOSFET devices with sub-50-nm gatesShibata, Kiyoshi / Izumi, Naoki / Tsujita, Kouichirou et al. | 2004
- 874
-
Detection method for a T-topped profile in resist patterns by CD-SEM [5375-95]Yamaguchi, A. / Fukuda, H. / Komuro, O. / Yoneda, S. / Iizumi, T. / International Society for Optical Engineering et al. | 2004
- 874
-
Detection method for a T-topped profile in resist patterns by CD-SEMYamaguchi, Atsuko / Fukuda, Hiroshi / Komuro, Osamu / Yoneda, Shozo / Iizumi, Takashi et al. | 2004
- 881
-
Test of a new sub-90-nm DR overlay mark for DRAM production [5375-96]Gruss, S. / Teipel, A. / Fulber, C. / Kassel, E. / Adel, M. E. / Ghinovker, M. / Izikson, P. / International Society for Optical Engineering et al. | 2004
- 881
-
Test of a new sub-90-nm DR overlay mark for DRAM productionGruss, Stefan / Teipel, Ansgar / Fuelber, Carsten / Kassel, Elyakim / Adel, Mike / Ghinovker, Mark / Izikson, Pavel et al. | 2004
- 893
-
Robust and efficient image processing scheme for electron beam LSI wafer pattern inspectionHiroi, Takashi / Fukunishi, Munenori et al. | 2004
- 893
-
Robust and efficient image processing scheme for electron beam LSI wafer pattern inspection [5375-99]Hiroi, T. / Fukunishi, M. / International Society for Optical Engineering et al. | 2004
- 903
-
Alignment offset analyzer against wafer-induced shift (WIS)Matsumoto, Takahiro / Ina, Hideki / Sentoku, Koichi et al. | 2004
- 903
-
Alignment offset analyzer against wafer-induced shift (WIS) [5375-100]Matsumoto, T. / Ina, H. / Sentoku, K. / International Society for Optical Engineering et al. | 2004
- 912
-
Productivity and yield improvement through implementation of automated defect review SEM at 45^o column tilt in a high-capacity production Fab [5375-101]Tan, J. / Kulkarni, S. / Ng, S. L. / Jain, A. / Srinivasan, V. / Raccah, N. / Rotlevi, O. / International Society for Optical Engineering et al. | 2004
- 912
-
Productivity and yield improvement through implementation of automated defect review SEM at 45° column tilt in a high-capacity production FabTan, Jackie / Kulkarni, Sandeep / Ng, Sern L. / Jain, Alok / Srinivasan, Vish / Raccah, Nurit / Rotlevi, Ofer et al. | 2004
- 921
-
Inspection performances of the electron beam inspection system based on projection electron microscopy [5375-102]Nagahama, I. / Onishi, A. / Yamazaki, Y. / Satake, T. / Noji, N. / International Society for Optical Engineering et al. | 2004
- 921
-
Inspection performances of the electron beam inspection system based on projection electron microscopyNagahama, Ichirota / Onishi, Atsushi / Yamazaki, Yuichiro / Satake, Tohru / Noji, Nobuharu et al. | 2004
- 929
-
Measurement precision of CD-SEM for 65-nm technology nodeAbe, Hideaki / Motoki, Hiroshi / Ikeda, Takahiro / Yamazaki, Yuichiro et al. | 2004
- 929
-
Measurement precision of CD-SEM for 65-nm technology node [5375-103]Abe, H. / Motoki, H. / Ikeda, T. / Yamazaki, Y. / International Society for Optical Engineering et al. | 2004
- 940
-
Investigation of UFO defect on DUV CAR and BARC process [5375-104]Yet, S. I. / Ko, B. S. / Lee, S. M. / May, M. / International Society for Optical Engineering et al. | 2004
- 940
-
Investigation of UFO defect on DUV CAR and BARC processYet, Siew Ing / Ko, Bong Sang / Lee, Soo Man / May, Mike et al. | 2004
- 949
-
Advanced alignment optical system for DUV scannerNagayama, Tadashi / Yasuda, Masahiko / Kanaya, Yuho / Masada, Takahiro / Sugaya, Ayako et al. | 2004
- 949
-
Advanced alignment optical system for DUV scanner [5375-105]Nagayama, T. / Yasuda, M. / Kanaya, Y. / Masada, T. / Sugaya, A. / International Society for Optical Engineering et al. | 2004
- 958
-
Barometric pressure compensation to control photoresist film thicknessVishnu, Vandana / Randall, Mai / Pillette, Carole J. / Katayama, Kyoshige / Omura, Kazuhisa / Uemura, Ryoichi / Tomita, Hiroshi / Ando, Ryoji / Ogata, Kunie / Maejima, Hiromitsu et al. | 2004
- 958
-
Barometric pressure compensation to control photoresist film thickness [5375-107]Vishnu, V. / Randall, M. / Pillette, C. J. / Katayama, K. / Omura, K. / Uemura, R. / Tomita, H. / Ando, R. / Ogata, K. / Maejima, H. et al. | 2004
- 967
-
Toward a complete description of linewidth roughness: a comparison of different methods for vertical and spatial LER and LWR analysis and CD variationConstantoudis, Vassilios / Patsis, George P. / Leunissen, Leonardus H. A. / Gogolides, Evangelos et al. | 2004
- 967
-
Toward a complete description of linewidth roughness: a comparison of different methods for vertical and spatial LER and LWR analysis and CD variation [5375-108]Constantoudis, V. / Patsis, G. P. / Leunissen, L. H. A. / Gogolides, E. / International Society for Optical Engineering et al. | 2004
- 978
-
Edge die focus-exposure monitoring and compensation to improve CD distributions [5375-109]Eichelberger, B. J. / Subramony, V. / Chew, A. / Dinu, B. A. / Goh, D. / Lim, P. C. / Monahan, K. M. / International Society for Optical Engineering et al. | 2004
- 978
-
Edge die focus-exposure monitoring and compensation to improve CD distributionsEichelberger, Brad J. / Subramony, Venkatram / Chew, Augustine / Dinu, Berta A. / Goh, Dawn / Lim, Pei Chin / Monahan, Kevin M. et al. | 2004
- 987
-
Optimization method of edge shot yield for various wafer layoutsEidelman, Anna / Blau, Avi / Abramovich, Irit K. et al. | 2004
- 987
-
Optimization method of edge shot yield for various wafer layouts [5375-110]Eidelman, A. / Blau, A. / Abramovich, I. K. / International Society for Optical Engineering et al. | 2004
- 996
-
Enhancing film thickness metrology optical coefficient control [5375-111]Jekauc, I. / Donohue, E. / Roberts, B. / International Society for Optical Engineering et al. | 2004
- 996
-
Enhancing film thickness metrology optical coefficient controlJekauc, Igor / Donohue, Elizabeth / Roberts, Bill et al. | 2004
- 1004
-
Effect of inline dose and focus monitoring and control on post-etch CD [5375-112]Dinu, B. A. / Subramony, V. / Lim, P. C. / Goh, D. / Eichelberger, B. J. / Chew, K. B. / Monahan, K. M. / International Society for Optical Engineering et al. | 2004
- 1004
-
Effect of inline dose and focus monitoring and control on post-etch CDDinu, Berta A. / Subramony, Venkatram / Lim, Pei Chin / Goh, Dawn / Eichelberger, Brad J. / Chew, Kwong Boo / Monahan, Kevin M. et al. | 2004
- 1010
-
Characterizing SiOxNyARC materials with laser ellipsometry and DUV reflectometryJiang, Gary G. / Sun, Timothy / Pelcher, Donald / Clerico, Jana / Li, Jui-Ping / Chen, Yi-Ru et al. | 2004
- 1010
-
Characterizing SiO~x N~y ARC materials with laser ellipsometry and DUV reflectometry [5375-114]Jiang, G. G. / Sun, T. / Pelcher, D. / Clerico, J. / Li, J.-P. / Chen, Y.-R. / International Society for Optical Engineering et al. | 2004
- 1017
-
Edge printability: techniques used to evaluate and improve extreme wafer edge printabilityRoberts, Bill / Demmert, Cort / Jekauc, Igor / Tiffany, Jason P. et al. | 2004
- 1017
-
Edge printability: techniques used to evaluate and improve extreme wafer edge printability [5375-115]Roberts, B. / Demmert, C. / Jekauc, I. / Tiffany, J. P. / International Society for Optical Engineering et al. | 2004
- 1024
-
Application of scatterometry to shallow trench isolation monitoringDudley, Ian / Somadder, Anjan et al. | 2004
- 1024
-
Application of scatterometry to shallow trench isolation monitoring [5375-117]Dudley, I. / Somadder, A. / International Society for Optical Engineering et al. | 2004
- 1033
-
Real-time optics contamination monitoring using surface acoustic wave technologyRowley, Steven et al. | 2004
- 1033
-
Real-time optics contamination monitoring using surface acoustic wave technology [5375-118]Rowley, S. / International Society for Optical Engineering et al. | 2004
- 1039
-
PSM alignment for Sigma7300: signal quality and resist effects from using the writing DUV laser light spatial light modulator and a CCD camera as measurement tool for 2:nd layer alignment metrologyOstrom, Thomas / Lindau, Sten / Ekberg, Mats / Fosshaug, Hans A. / Zerne, Raoul et al. | 2004
- 1039
-
PSM alignment for Sigma7300: signal quality and resist effects from using the writing DUV laser light spatial light modulator and a CCD camera as measurement tool for 2:nd layer alignment metrology [5375-125]Ostrom, T. / Lindau, S. / Ekberg, M. / Fosshaug, H. A. / Zerne, R. / International Society for Optical Engineering et al. | 2004
- 1050
-
Dual side wafer metrology for micromachining applicationsSchurz, Dan / Flack, Warren W. / Anberg, Doug et al. | 2004
- 1050
-
Dual side water metrology for micromachining applications [5375-127]Schurz, D. / Flack, W. W. / Anberg, D. / International Society for Optical Engineering et al. | 2004
- 1059
-
Characterization of integrated optical CD for process controlYu, Jackie / Uchida, Junichi / van Dommelen, Youri / Carpaij, Rene / Cheng, Shaunee / Pollentier, Ivan / Viswanathan, Anita / Lane, Lawrence / Barry, Kelly A. / Jakatdar, Nickhil et al. | 2004
- 1059
-
Characterization of integrated optical CD for process control [5375-128]Yu, J. / Uchida, J. / van Dommelen, Y. / Carpaij, R. / Cheng, S. / Pollentier, I. / Viswanathan, A. / Lane, L. / Barry, K. A. / Jakatdar, N. et al. | 2004
- 1069
-
A novel and robust method for the accurate magnification characterization and calibration of out-of-fab SEM cluster tools [5375-129]Sicignano, A. / Nikitin, A. / Yeremin, D. / Goldburt, T. / Tracy, B. / International Society for Optical Engineering et al. | 2004
- 1069
-
A novel and robust method for the accurate magnification characterization and calibration of out-of-fab SEM cluster toolsSicignano, Al / Nikitin, Arkady / Yeremin, Dmitry / Goldburt, Tim / Tracy, Bryan et al. | 2004
- 1081
-
Scatterometry for contact hole lithography [5375-130]Barry, K. A. / Viswanathan, A. / Niu, X. / Bischoff, J. / International Society for Optical Engineering et al. | 2004
- 1081
-
Scatterometry for contact hole lithographyBarry, Kelly A. / Viswanathan, Anita / Niu, Xinhui / Bischoff, Joerg et al. | 2004
- 1087
-
Simulation-based mask quality control in a production environment [5375-131]Pang, L. / Chen, J.-H. / Cai, L. / Lee, D. / Chu, B. / Huang, V. / Fang, T. Y. / International Society for Optical Engineering et al. | 2004
- 1087
-
Simulation-based mask quality control in a production environmentPang, Linyong / Chen, Jiunn-Hung / Cai, Lynn / Lee, Don / Chu, Brian / Huang, Vinsent / Fang, Te-Yang et al. | 2004
- 1098
-
Approaching new metrics for wafer flatness: an investigation of the lithographic consequences of wafer non-flatness [5375-132]Valley, J. F. / Poduje, N. / Sinha, J. / Judell, N. / Wu, J. / Boonman, M. / Tempelaars, S. / van Dommelen, Y. / Kattouw, H. / Hauschild, J. et al. | 2004
- 1098
-
Approaching new metrics for wafer flatness: an investigation of the lithographic consequences of wafer non-flatnessValley, John F. / Poduje, Noel / Sinha, Jaydeep / Judell, Neil / Wu, Jie / Boonman, Marc / Tempelaars, Sjef / van Dommelen, Youri / Kattouw, Hans / Hauschild, Jan et al. | 2004
- 1109
-
Nanocal calibration and pitch recertification of a Hitachi microscale standard [5375-133]Yeremin, D. / Nikitin, A. / Sicignano, A. / Sandy, M. / Goldburt, T. / Tracy, B. / International Society for Optical Engineering et al. | 2004
- 1109
-
Nanocal calibration and pitch recertification of a Hitachi microscale standardYeremin, Dmitry / Nikitin, Arkady / Sicignano, Al / Sandy, Matt / Goldburt, Tim / Tracy, Bryan et al. | 2004
- 1112
-
Three-dimensional measurement by tilting and moving objective lens in CD-SEM (II)Abe, Kazuo / Kimura, Kouji / Tsuruga, Yasuko / Okada, Shin-ichi / Suzuki, Hitoshi / Kochi, Nobuo / Koike, Hirotami / Hamaguchi, Akira / Yamazaki, Yuichiro et al. | 2004
- 1112
-
Three-dimensional measurement by tilting and moving objective lens in CD-SEM (II) [5375-135]Abe, K. / Kimura, K. / Tsuruga, Y. / Okada, S. / Suzuki, H. / Kochi, N. / Koike, H. / Hamaguchi, A. / Yamazaki, Y. / International Society for Optical Engineering et al. | 2004
- 1118
-
Investigation of systematical overlay errors limiting litho process performance of thick implant resists [5375-137]Grandpierre, A. G. / Schiwon, R. / Bruch, J.-U. / Nacke, C. / Schroder, U. P. / International Society for Optical Engineering et al. | 2004
- 1118
-
Investigation of systematical overlay errors limiting litho process performance of thick implant resistsGrandpierre, Alexandra G. / Schiwon, Roberto / Bruch, Jens -. / Nacke, Christoph / Schroeder, Uwe P. et al. | 2004
- 1125
-
Electron beam inspection system for semiconductor wafer based on projection electron microscopySatake, Tohru / Noji, Nobuharu / Murakami, Takeshi / Tsujimura, Manabu / Nagahama, Ichirota / Yamazaki, Yuichiro / Onishi, Atsushi et al. | 2004
- 1125
-
Electron beam inspection system for semiconductor wafer based on projection electron microscopy [5375-138]Satake, T. / Noji, N. / Murakami, T. / Tsujimura, M. / Nagahama, I. / Yamazaki, Y. / Onishi, A. / International Society for Optical Engineering et al. | 2004
- 1135
-
Capability of spectroscopic ellipsometry-based profile metrology for detecting the profile excursion of polysilicon gateYeh, Mike / Fang, Shu-Ping / Tsau, Bo-Jau / Huang, Chih-Chung / Lin, Benjamin S. / Fu, Steven / Chen, Jay C. / Freed, Regina / Dziura, Ted G. / Slessor, Mike D. et al. | 2004
- 1135
-
Capability of spectroscopic ellipsometry-based profile metrology for detecting the profile excursion of polysilicon gate [5375-139]Yeh, M. / Fang, S.-P. / Tsau, B.-J. / Huang, C.-C. / Lin, B. S. / Fu, S. / Chen, J. C. / Freed, R. / Dziura, T. G. / Slessor, M. D. et al. | 2004
- 1144
-
MPPC technique for gate etch process monitoring using CD-SEM images and its validity verificationTanaka, Maki / Shishido, Chie / Takagi, Yuji / Morokuma, Hidetoshi et al. | 2004
- 1144
-
MPPC technique for gate etch process monitoring using CD-SEM images and its validity verification [5375-140]Tanaka, M. / Shishido, C. / Takagi, Y. / Morokuma, H. / International Society for Optical Engineering et al. | 2004
- 1156
-
Application of the rigorous treatment for the characterization of sub-micron structures on photomasksTriebel, Peter / Weissbrodt, Peter / Nolte, Stefan / Kley, Ernst B. / Tuennermann, Andreas et al. | 2004
- 1156
-
Application of the rigorous treatment for the characterization of sub-micron structures on photomasks [5375-141]Triebel, P. / Weissbrodt, P. / Nolte, S. / Kley, E. B. / Tuennermann, A. / International Society for Optical Engineering et al. | 2004
- 1164
-
Dielectric antireflection layer optimization: correlation of simulation and experimental data [5375-142]Gu, Y. / Wang, A. / Chou, D. / International Society for Optical Engineering et al. | 2004
- 1164
-
Dielectric antireflection layer optimization: correlation of simulation and experimental dataGu, Yiming / Wang, Anthony / Chou, Dyiann et al. | 2004
- 1173
-
OCD study of critical dimension and line-shape control of shallow-trench-isolation structures [5375-143]Feng, Y. / Zhang, X. / Cheung, B. / Liu, Z. / Isao, M. / Hayashi, M. / International Society for Optical Engineering et al. | 2004
- 1173
-
OCD study of critical dimension and line-shape control of shallow-trench-isolation structuresFeng, Ye / Zhang, Xiaodong / Cheung, Beverly / Liu, Zhuan / Isao, Mita / Hayashi, Manabu et al. | 2004
- 1183
-
Photomask disposition based on simulated device performance [5375-144]Pang, L. / Xu, X. / International Society for Optical Engineering et al. | 2004
- 1183
-
Photomask disposition based on simulated device performancePang, Linyong / Xu, Xiaopeng et al. | 2004
- 1191
-
Qualification of a low-cost high-quality reticle process for 90-nm contact layersStrozewski, Kirk J. / Perez, Joe / Carter, Rusty / Kiefer, Robert / Jackson, Curt / MacDonald, Susan / Kalk, Franklin et al. | 2004
- 1191
-
Qualification of a low-cost high-quality reticle process for 90-nm contact layers [5375-145]Strozewski, K. J. / Perez, J. / Carter, R. / Kiefer, R. / Jackson, C. / MacDonald, S. / Kalk, F. / International Society for Optical Engineering et al. | 2004
- 1199
-
Mask line monitor: process improvements and yield learning [5375-146]Wang, Y. J. / Watts, A. J. / International Society for Optical Engineering et al. | 2004
- 1199
-
Mask line monitor: process improvements and yield learningWang, Yiyang J. / Watts, Andrew J. et al. | 2004
- 1205
-
New method for the measurement of SEM stage vibrations [5375-150]Damazo, B. N. / Jayewardene, E. C. / Vladar, A. E. / Keery, W. J. / Postek, M. T. / International Society for Optical Engineering et al. | 2004
- 1205
-
New method for the measurement of SEM stage vibrationsDamazo, Bradley N. / Jayewardene, Eranga C. / Vladar, Andras E. / Keery, William J. / Postek, Michael T. et al. | 2004
- 1212
-
Height and sidewall angle SEM metrology accuracyKris, Roman / Adan, Ofer / Tam, Aviram / Karabekov, Albert Y. / Menadeva, Ovadya / Peltinov, Ram / Pnueli, Ayelet / Zoran, Oren / Vilenkin, Arcadiy et al. | 2004
- 1212
-
Height and sidewall angle SEM metrology accuracy [5375-151]Kris, R. / Adan, O. / Tam, A. / Karabekov, A. Y. / Menadeva, O. / Peltinov, R. / Pnueli, A. / Zoran, O. / Vilenkin, A. / International Society for Optical Engineering et al. | 2004
- 1224
-
CD-SEM application for generic analysis of two-dimensional features on wafers and reticlesKris, Roman / Tam, Aviram / Menadeva, Ovadya / Peltinov, Ram / Segal, Liraz / Wertsman, Nadav / Adan, Ofer / Shcolnik, Naftali / Gottlib, Gidi / Vilenkin, Arcadiy et al. | 2004
- 1224
-
CD-SEM application for generic analysis of two-dimensional features on wafers and reticles [5375-157]Kris, R. / Tam, A. / Menadeva, O. / Peltinov, R. / Segal, L. / Wertsman, N. / Adan, O. / Shcolnik, N. / Gottlib, G. / Vilenkin, A. et al. | 2004
- 1235
-
Improvement of aluminum interconnect overlay measurement capability through metrology and hardmask process development [5375-158]Ihochi, A. L. / Ross, M. E. / International Society for Optical Engineering et al. | 2004
- 1235
-
Improvement of aluminum interconnect overlay measurement capability through metrology and hardmask process developmentIhochi, Albert L. / Ross, Matthew E. et al. | 2004
- 1247
-
Prospects for using primary electron-based CD metrology [5375-159]Rice, B. J. / Crays, G. L. / Danilevsky, A. / Grumski, M. G. / Koshihara, S. / Otaka, T. / Roberts, J. M. / International Society for Optical Engineering et al. | 2004
- 1247
-
Prospects for using primary electron-based CD metrologyRice, Bryan J. / Crays, Gary L. / Danilevsky, Alex / Grumski, Michael G. / Koshihara, Shunsuke / Otaka, Tadashi / Roberts, Jeanette M. et al. | 2004
- 1254
-
Total test repeatability: a new figure of merit for CD metrology tools [5375-160]Cramer, H. / Kiers, T. / Vanoppen, P. / Meessen, J. / Blok, F. / Dusa, M. V. / International Society for Optical Engineering et al. | 2004
- 1254
-
Total test repeatability: a new figure of merit for CD metrology toolsCramer, Hugo / Kiers, Ton / Vanoppen, Peter / Meessen, Jeroen / Blok, Frans / Dusa, Mircea V. et al. | 2004
- 1265
-
Segmented alignment mark optimization and signal strength enhancement for deep trench processCui, Yuanting / Goodwin, Frank / van Haren, Richard et al. | 2004
- 1265
-
Segmented alignment mark optimization and signal strength enhancement for deep trench process [5375-161]Cui, Y. / Goodwin, F. / van Haren, R. / International Society for Optical Engineering et al. | 2004
- 1278
-
Aerial image measurement technique for fast evaluation of 193-nm lithography masksZibold, Axel M. / Scheruebl, Thomas / Harnisch, Wolfgang / Brunner, Robert / Greif, J. et al. | 2004
- 1278
-
Aerial image measurement technique for fast evaluation of 193-nm lithography masks [5375-162]Zibold, A. M. / Scherubl, T. / Harnisch, W. / Brunner, R. / Greif, J. / International Society for Optical Engineering et al. | 2004
- 1286
-
Quasi-Brewster angle technique for evaluating the quality of optical surfaces [5375-163]Wang, J. / Maier, R. L. / International Society for Optical Engineering et al. | 2004
- 1286
-
Quasi-Brewster angle technique for evaluating the quality of optical surfacesWang, Jue / Maier, Robert L. et al. | 2004
- 1295
-
New methodology for evaluating and quantifying reticle line end shorteningSimmons, Mark C. / Jensen, John V. / Muller, Robert / Jost, Andrew M. et al. | 2004
- 1295
-
New methodology for evaluating and quantifying reticle line end shortening [5375-164]Simmons, M. C. / Jensen, J. V. / Muller, R. / Jost, A. M. / International Society for Optical Engineering et al. | 2004
- 1302
-
Innovative rapid photogoniometry method for CD metrology [5375-166]Boher, P. / Luet, M. / Leroux, T. / Petit, J. / Barritault, P. / Hazart, J. / Chaton, P. / International Society for Optical Engineering et al. | 2004
- 1302
-
Innovative rapid photogoniometry method for CD metrologyBoher, Pierre / Luet, Mathieu / Leroux, Thierry / Petit, Jerome / Barritault, Pierre / Hazart, Jerome / Chaton, Patrick et al. | 2004
- 1314
-
Scanning holographic scatterometer for wafer surface inspection [5375-167]Klooster, A. / Marks, J. / Hanson, K. / Sawatari, T. / International Society for Optical Engineering et al. | 2004
- 1314
-
Scanning holographic scatterometer for wafer surface inspectionKlooster, Alex / Marks, James / Hanson, Kael / Sawatari, Takeo et al. | 2004
- 1325
-
Characterization and control of sub-100-nm etch and lithography processes using atomic force metrologyMiller, Kirk / Geiszler, Vincent / Dawson, Dean et al. | 2004
- 1325
-
Characterization and control of sub-100-nm etch and lithography processes using atomic force metrology [5375-168]Miller, K. / Geiszler, V. / Dawson, D. / International Society for Optical Engineering et al. | 2004
- 1331
-
Linewidth measurement simulations for semiconductor circuits by scatterometry using FDTD and time shortening calculation method [5375-169]Shirasaki, H. / Ueta, K. / International Society for Optical Engineering et al. | 2004
- 1331
-
Linewidth measurement simulations for semiconductor circuits by scatterometry using FDTD and time shortening calculation methodShirasaki, Hirokimi / Ueta, Kunio et al. | 2004
- 1339
-
Arbitrary 3D linewidth form measurement simulations for the next-generation semiconductor circuits by scatterometry using the FDTD method [5375-170]Shirasaki, H. / International Society for Optical Engineering et al. | 2004
- 1339
-
Arbitrary 3D linewidth form measurement simulations for the next-generation semiconductor circuits by scatterometry using the FDTD methodShirasaki, Hirokimi et al. | 2004
- 1346
-
Image quality monitoring for enhanced precision and tool matching of CD measuring tools [5375-176]Pnueli, A. / Karabekov, A. Y. / Eytan, G. / International Society for Optical Engineering et al. | 2004
- 1346
-
Image quality monitoring for enhanced precision and tool matching of CD measuring toolsPnueli, Ayelet / Karabekov, Albert Y. / Eytan, Guy et al. | 2004
- 1356
-
Finite difference algorithm in real-time optical CD applicationsOpsal, Jon L. / Chu, Hanyou / Leng, Jingmin et al. | 2004
- 1356
-
Finite difference algorithm in real-time optical CD applications [5375-178]Opsal, J. L. / Chu, H. / Leng, J. / International Society for Optical Engineering et al. | 2004
- 1364
-
Contact hole application for lithography process development using the Opti-Probe three-dimensional RT/CD technologyJiang, Zhiming / Sorkhabi, Osman / Chu, Hanyou / Cao, XueLong / Li, Guangwei / Wen, Youxian / Opsal, Jon L. / Chang, Yia-Chung et al. | 2004
- 1364
-
Contact hole application for lithography process development using the Opti-Probe three-dimensional RT/CD technology [5375-179]Jiang, Z. / Sorkhabi, O. / Chu, H. / Cao, X. / Li, G. / Wen, Y. / Opsal, J. L. / Chang, Y. C. / International Society for Optical Engineering et al. | 2004
- 1374
-
Application of spectroscopic ellipsometry-based scatterometry for ultrathin spacer structureChen, Ryan Chia-Jen / Chen, Fang-Cheng / Luo, Ying-Ying / Perng, Baw-Ching / Chiu, Yuan-Hung / Tao, Hun-Jan et al. | 2004
- 1374
-
Application of spectroscopic ellipsometry-based scatterometry for ultrathin spacer structure [5375-180]Chen, R. C.-J. / Chen, F.-C. / Luo, Y.-Y. / Perng, B.-C. / Chiu, Y.-H. / Tao, H.-J. / International Society for Optical Engineering et al. | 2004
- 1382
-
Laser sample stage-based image resolution enhancement method for SEMs [5375-181]Vladar, A. E. / Jayewardene, E. C. / Damazo, B. N. / Keery, W. J. / Postek, M. T. / International Society for Optical Engineering et al. | 2004
- 1382
-
Laser sample stage-based image resolution enhancement method for SEMsVladar, András E. / Jayewardene, Eranga C. / Damazo, Bradley N. / Keery, William J. / Postek, Michael T. et al. | 2004
- 1389
-
Carbon nanotube atomic force microscopy cantilevers [5375-182]Emirov, Y. N. / Schumacher, J. D. / Lagel, B. / Nguyen, N. / Ren, Z. F. / Huang, Z. P. / Rossie, B. B. / Schlaf, R. / International Society for Optical Engineering et al. | 2004
- 1389
-
Carbon nanotube atomic force microscopy cantileversEmirov, Yusuf N. / Schumacher, J. D. / Lagel, B. / Nguyen, N. / Ren, Zhifeng / Huang, Zhongping / Rossie, Benjamin B. / Schlaf, Rudy et al. | 2004