Advanced patterning solutions based on double exposure: double patterning and beyond [7520-50] (English)
- New search for: Bae, Y.C.
- New search for: Liu, Y.
- New search for: Cardolaccia, T.
- New search for: Spizuoco, K.
- New search for: Bell, R.
- New search for: Joesten, L.
- New search for: Pikon, A.
- New search for: Reilly, M.
- New search for: Ablaza, S.
- New search for: Trefonas, P.
- New search for: SPIE (Society)
- New search for: Bae, Y.C.
- New search for: Liu, Y.
- New search for: Cardolaccia, T.
- New search for: Spizuoco, K.
- New search for: Bell, R.
- New search for: Joesten, L.
- New search for: Pikon, A.
- New search for: Reilly, M.
- New search for: Ablaza, S.
- New search for: Trefonas, P.
- New search for: Chen, Alek C.
- New search for: SPIE (Society)
In:
Lithography Asia
;
7520 1G
;
2009
-
ISBN:
-
ISSN:
- Conference paper / Print
-
Title:Advanced patterning solutions based on double exposure: double patterning and beyond [7520-50]
-
Contributors:Bae, Y.C. ( author ) / Liu, Y. ( author ) / Cardolaccia, T. ( author ) / Spizuoco, K. ( author ) / Bell, R. ( author ) / Joesten, L. ( author ) / Pikon, A. ( author ) / Reilly, M. ( author ) / Ablaza, S. ( author ) / Trefonas, P. ( author )
-
Conference:Conference, Lithography Asia ; 2009 ; Taipei, Taiwan
-
Published in:Lithography Asia ; 7520 1GPROCEEDINGS- SPIE THE INTERNATIONAL SOCIETY FOR OPTICAL ENGINEERING ; 7520 ; 7520 1G
-
Publisher:
- New search for: SPIE
-
Place of publication:Bellingham, Wash.
-
Publication date:2009-01-01
-
Size:7520 1G
-
Remarks:Includes bibliographical references and index.
-
ISBN:
-
ISSN:
-
Type of media:Conference paper
-
Type of material:Print
-
Language:English
-
Keywords:
-
Source:
© Metadata Copyright the British Library Board and other contributors. All rights reserved.
Table of contents conference proceedings
The tables of contents are generated automatically and are based on the data records of the individual contributions available in the index of the TIB portal. The display of the Tables of Contents may therefore be incomplete.
- 75200A
-
Advances in maskless and mask-based optical lithography on plastic flexible substratesBarbu, Ionut / Ivan, Marius G. / Giesen, Peter / Van de Moosdijk, Michel / Meinders, Erwin R. et al. | 2009
- 75200B
-
Source-mask selection using computational lithography: further investigation incorporating rigorous resist modelsKapasi, Sanjay / Robertson, Stewart / Biafore, John / Smith, Mark D. et al. | 2009
- 75200C
-
Feasibility studies of source and mask optimizationNakashima, Toshiharu / Matsuyama, Tomoyuki / Owa, Soichi et al. | 2009
- 75200D
-
Source-mask co-optimization: optimize design for imaging and impact of source complexity on lithography performanceHsu, Stephen / Li, Zhipan / Chen, Luoqi / Gronlund, Keith / Liu, Hua-yu / Socha, Robert et al. | 2009
- 75200E
-
Regularization of inverse photomask synthesis to enhance manufacturabilityJia, Ningning / Wong, Alfred K. / Lam, Edmund Y. et al. | 2009
- 75200F
-
The LER/LWR metrology challenge for advance process control through 3D-AFM and CD-SEMFaurie, P. / Foucher, J. / Foucher, A.-L. et al. | 2009
- 75200G
-
Optimization of alignment/overlay sampling and marker layout to improve overlay performance for double patterning technologyChue, Chuei-Fu / Chiou, Tsann-Bim / Huang, Chun-Yen / Chen, Alek C. / Shih, Chiang-Lin et al. | 2009
- 75200H
-
Optical critical dimension measurements for patterned media with 10's nm feature sizeLiu, Yongdong / Tabet, Milad / Hu, Jiangtao / Yu, Zhaoning / Hwu, Justin / Hu, Wei / Zhu, Sha / Gauzner, Gene / Lee, Kim / Lee, Shifu et al. | 2009
- 75200I
-
Ultra-sensitive optical metrology for hard disk DTR and BPM imprintsRoberts, Jeffrey / Hu, Linlin / Bloomer, Iris / Lee, Shih-Fu / Liu, Yongdong et al. | 2009
- 75200J
-
After development inspection (ADI) studies of photo resist defectivity of an advanced memory deviceKim, Hyung-Seop / Cho, Yong Min / Lee, Byoung-Ho / Yeh, Roland / Ma, Eric / Wang, Fei / Zhao, Yan / Kanai, Kenichi / Xiao, Hong / Jau, Jack et al. | 2009
- 75200K
-
Challenges in development and construction of stand-alone inspection, metrology, and calibration tools for EUV lithographic applicationsUnderwood, James H. / Houser, David C. / Latzke, Aaron T. / Perera, Rupert C. C. et al. | 2009
- 75200L
-
Image reversal trilayer materials and processingAbdallah, David J. / Kurosawa, Kazunori / Wolfer, Elizabeth / Monreal, Victor / Dalil Rahman, M. / Lee, DongKwan / Neisser, Mark / Dammel, Ralph R. et al. | 2009
- 75200M
-
Resist double patterning on BARCs and spin-on multilayer materialsGuerrero, Douglas J. / Sullivan, Daniel M. / Mercado, Ramil-Marcelo L. et al. | 2009
- 75200N
-
Latest developments in photosensitive developable bottom anti-reflective coating (DBARC)Kudo, Takanori / Chakrapani, Srinivasan / Dioses, Alberto / Ng, Edward / Antonio, Charito / Parthasarathy, Deepa / Miyazaki, Shinji / Ubayashi, Yuki / Yamamoto, Kazuma / Akiyama, Yasushi et al. | 2009
- 75200O
-
High Si content anti-reflective coatings and their extension to a UV freeze dual patterning processKennedy, Joseph / Wu, ZeYu / Flanigan, Kyle / Dai, Junyan / Wallow, Thomas et al. | 2009
- 75200R
-
EUV sensitive photo-acid generator sans chromophoreMayya, K. Subramanya / Kang, Yool / Yasue, Takahiro / Oh, Seok-Hwan / Choi, Seong-Woon / Park, Chan-Hoon et al. | 2009
- 75200S
-
A fully model-based methodology for simultaneously correcting EUV mask shadowing and optical proximity effects with improved pattern transfer fidelity and process windowsNg, Philip C. W. / Tsai, Kuen-Yu / Lee, Yen-Min / Pei, Ting-Han / Wang, Fu-Min / Li, Jia-Han / Chen, Alek C. et al. | 2009
- 75200T
-
Comparison of simulation and wafer results for shadowing and flare effect on EUV alpha demo toolMoon, James / Kim, Cheol-Kyun / Nam, Byoung-Sub / Nam, Byong-Ho / Lim, Chang-Moon / Yim, Donggyu / Park, Sung-Ki et al. | 2009
- 75200U
-
Development and evaluation of new MRC parameter for aggressive mask optimizationShim, Seong-bo / Kim, Young-chang / Jang, Seong-hoon / Kim, Hee-bom / Lee, Sung-woo / Choi, Seong-woon / Cho, Han-ku / Park, Chan-hoon et al. | 2009
- 75200V
-
Fast converging inverse lithography algorithm incorporating image gradient descent methodsYu, Jue-Chin / Yu, Peichen et al. | 2009
- 75200W
-
Using transmission line theory to calculate equivalent refractive index of EUV mask multilayer structures for efficient scattering simulation by finite-difference time-domain methodLee, Yen-Min / Li, Jia-Han / Ng, Philip C. W. / Pei, Ting-Han / Wang, Fu-Min / Tsai, Kuen-Yu / Chen, Alek C. et al. | 2009
- 75200X
-
Source mask optimization (SMO) at full chip scale using inverse lithography technology (ILT) based on level set methodsPang, Linyong / Hu, Peter / Peng, Danping / Chen, Dongxue / Cecil, Tom / He, Lin / Xiao, Guangming / Tolani, Vikram / Dam, Thuc / Baik, Ki-Ho et al. | 2009
- 75200Y
-
Performance of a programmable illuminator for generation of freeform sources on high NA immersion systemsMulder, Melchior / Engelen, André / Noordman, Oscar / Kazinczi, Robert / Streutker, Gert / van Drieenhuizen, Bert / Hsu, Stephen / Gronlund, Keith / Degünther, Markus / Jürgens, Dirk et al. | 2009
- 75200Z
-
Latest results from the Nikon NSR-S620 double patterning immersion scannerHirano, Kazuhiro / Shibazaki, Yuichi / Hamatani, Masato / Ishikawa, Jun / Iriuchijima, Yasuhiro et al. | 2009
- 75201A
-
A sophisticated metrology solution for advanced lithography: addressing the most stringent needs of today as well as future lithographyShih, Victor / Huang, Jacky / Wang, Willie / Huang, G. T. / Chung, H. L. / Ho, Alan / Yang, W. T. / Wang, Sophia / Ke, Chih-Ming / Chen, L. J. et al. | 2009
- 75201B
-
Scatterometry measurement of asymmetric gratingsLi, Jie / Hwu, Justin J. / Liu, Yongdong / Rabello, Silvio / Liu, Zhuan / Hu, Jiangtao et al. | 2009
- 75201C
-
Systematic defect management by design aware inspectionChang, Ellis / Park, Allen et al. | 2009
- 75201D
-
EUV mask pattern inspection with an advanced electron beam inspection systemShimomura, Takeya / Inazuki, Yuichi / Tsukasa, Abe / Takikawa, Tadahiko / Morikawa, Yasutaka / Mohri, Hiroshi / Hayashi, Naoya / Wang, Fei / Ma, Long / Zhao, Yan et al. | 2009
- 75201E
-
Implementation of double patterning process toward 22-nm nodeYaegashi, Hidetami / Nisimura, Eiichi / Hasebe, Kazuhide / Kawasaki, Tetsu / Kushibiki, Masato / Hara, Arisa / Yamauchi, Shoichi / Natori, Sakurako / Shigeru, Nakajima / Murakami, Hiroki et al. | 2009
- 75201F
-
Development of silicon glass for etch reverse layer (SiGERL) materials and BARCs for double patterning processSakaida, Yasushi / Yaguchi, Hiroaki / Sakamoto, Rikimaru / Ho, Bang-Ching et al. | 2009
- 75201G
-
Advanced patterning solutions based on double exposure: double patterning and beyondBae, Young C. / Liu, Yi / Cardolaccia, Thomas / Spizuoco, Ken / Bell, Rosemary / Joesten, Lori / Pikon, Amandine / Reilly, Michael / Ablaza, Sheri / Trefonas, Peter et al. | 2009
- 75201H
-
Litho-freeze-litho-etch (LFLE) enabling dual wafer flow coat/develop process and freeze CD tuning bake for >200wph immersion ArF photolithography double patterningPieczulewski, Charles N. / Rosslee, Craig A. et al. | 2009
- 75201J
-
Low temperature plasma-enhanced ALD enables cost-effective spacer defined double patterning (SDDP)Beynet, Julien / Wong, Patrick / Miller, Andy / Locorotondo, Sabrina / Vangoidsenhoven, Diziana / Yoon, Tae-Ho / Demand, Marc / Park, Hyung-Sang / Vandeweyer, Tom / Sprey, Hessel et al. | 2009
- 75201K
-
Filtration condition study for enhanced microbridge reductionUmeda, Toru / Watanabe, Fumitake / Tsuzuki, Shuichi / Numaguchi, Toru et al. | 2009
- 75201L
-
Possible line edge roughness reduction by anisotropic molecular resistKim, Hyunsu / Cho, In Wook / Jang, Hakjin / Kang, Mihwa / Kim, Seong Wook / Oh, Hye-Keun et al. | 2009
- 75201M
-
A proven methodology for detecting photo-resist residue and for qualifying photo-resist material by measuring fluorescence using SP2 bare wafer inspection and SURFmonitorFeiler, David / Radovanovic, Sanda / Dighe, Prasanna / Kitnan, Arul / Simpson, Gavin / Schwager, Gad / Eynis, Alexander / Enidjer, Diti et al. | 2009
- 75201N
-
Validation of the predictive power of a calibrated physical stochastic resist modelRobertson, Stewart A. / Biafore, John J. / Smith, Mark D. / Reilly, Michael T. / Wandell, Jerome et al. | 2009
- 75201O
-
Hierarchical DPT mask planning for contact layerLi, Qiao / Ghosh, Pradiptya / LaCour, Pat et al. | 2009
- 75201P
-
Pattern prediction in EUV resistsBiafore, John J. / Smith, Mark D. / Wallow, Tom / Nalleau, Patrick / Blankenship, David / Deng, Yunfei et al. | 2009
- 75201Q
-
Model-based scanner tuning for process optimizationChien, Tsung-Chih / Shih, C. Y. / Peng, R. C. / Liu, H. H. / Chen, Y. C. / Lee, H. J. / Lin, John / Chang, K. W. / Wu, C. M. / Hung, W. H. et al. | 2009
- 75201R
-
The synthesis and imaging study of a series of novel photoactive polymers with diazoketo groups in their side chainsLiu, Lu / Zou, Yingquan / Yang, Yuchun / Huang, Yong / Liu, Qisheng / Niu, Huinan et al. | 2009
- 75201U
-
Hot spot management through design based metrology: measurement and filteringLee, Taehyeong / Yang, Hyunjo / Kim, Jungchan / Jung, Areum / Yoo, Gyun / Yim, Donggyu / Park, Sungki / Ishikawa, Akio / Yamamoto, Masahiro / Vikram, Abhishek et al. | 2009
- 75201W
-
Immersion and dry lithography monitoring for flash memories (after develop inspection and photo cell monitor) using a darkfield imaging inspector with advanced binning technologyParisi, P. / Mani, A. / Perry-Sullivan, C. / Kopp, J. / Simpson, G. / Renis, M. / Padovani, M. / Severgnini, C. / Piacentini, P. / Piazza, P. et al. | 2009
- 75201X
-
Control of CD errors and hotspots by using a design based verification systemChoi, Bong-Seok / Lee, Sang-Ho / Kang, Young-Seog / Han, Woo-Sung et al. | 2009
- 75201Y
-
Bottom-anti-reflective coatings (BARC) for LFLE double patterning processSakamoto, Rikimaru / Endo, Takafumi / Ho, Bang-Ching / Kimura, Shigeo / Ishida, Tomohisa / Kato, Masakazu / Fujitani, Noriaki / Onishi, Ryuji / Hiroi, Yoshiomi / Maruyama, Daisuke et al. | 2009
- 75202A
-
Study of OPC accuracy by illumination source typesYang, Kiho / Park, Daejin / Lee, Jeonkyu / Oh, Sangjin / Jeon, Jinhyuck / You, Taejun / Park, Chanha / Yim, Donggyu / Park, Sungki et al. | 2009
- 75202B
-
Expanding the lithography process window (PW) with CDC technologyWang, Sz-Huei / Ben-Zvi, Guy / Chen, Yu-Wan / Kuo, Chung Ming / Graitzer, Erez / Cohen, Avi et al. | 2009
- 75202D
-
Green binary and phase shifting maskShy, S. L. / Hong, Chao-Sin / Wu, Cheng-San / Chen, S. J. / Wu, Hung-Yu / Ting, Yung-Chiang et al. | 2009
- 75202G
-
Abbe-PCA-SMO: microlithography source and mask optimization based on Abbe-PCAChang, Shi-Jei / Chen, Charlie Chung-Ping / Melvin, Lawrence S. et al. | 2009
- 75202I
-
Heat conduction from hot plate to photoresist on top of wafer including heat loss to the environmentJung, Minhee / Kim, Sarah / Kim, Do Wan / Oh, Hye-Keun et al. | 2009
- 75202J
-
FAST-LH: a manufacturing-environmental friendly method of lens heating monitoringYet, Siew Ing / Lim, Faith et al. | 2009
- 75202K
-
Preliminary design of a two-dimensional electron beam position monitor system for multiple-electron-beam-direct-write lithographyChen, Sheng-Yung / Tsai, Kuen-Yu / Ng, Hoi-Tou / Fan, Chi-Hsiang / Pei, Ting-Hang / Kuan, Chieh-Hsiung / Chen, Yung-Yaw / Yen, Jia-Yush et al. | 2009
- 75202P
-
Generation and characterization of spatially distributed laser produced plasma extreme ultraviolet sourceChang, Kuang-Po / Morris, Oran / O'Reilly, Fergal / Dunne, Padraig / O'Sullivan, Gerard et al. | 2009
- 75202U
-
Evaluation of 172-nm wavelength as a possible candidate for 22-nm and belowYou, Jee-Hye / Kim, Eun-Jin / Oh, Hye-Keun et al. | 2009
- 75202V
-
The effect of UPW quality on photolithography defectNg, Wah Hoo / Yet, Siew Ing / Liau, Chu Yaw et al. | 2009
- 75202X
-
Relaxation properties of dielectric dipoles of photo resist materialsSasazaki, Hiroki / Kawai, Akira et al. | 2009
- 75202Y
-
Spontaneous deformation of resist micro pattern due to van der Waals interactionKawai, Akira / Yamaji, Takashi et al. | 2009
- 75202Z
-
Micro bubble removal from micro pattern structure under alternating electric fieldSasazaki, Hiroki / Kawai, Akira et al. | 2009
- 752001
-
Front Matter: Volume 7520| 2009
- 752003
-
3D integration opportunities, issues, and solutions: a designer's perspectiveKwai, Ding-Ming / Wu, Cheng-Wen et al. | 2009
- 752004
-
Decades of rivalry and complementary of photon and electron beamsLin, Burn J. et al. | 2009
- 752007
-
High power LPP EUV source system development statusLin, Benjamin Szu-Min / Brandt, David / Farrar, Nigel et al. | 2009
- 752008
-
EUVL: towards implementation in productionMeiling, Hans / Buzing, Nico / Cummings, Kevin / Harned, Noreen / Hultermans, Bas / De Jonge, Roel / Kessels, Bart / Kürz, Peter / Lok, Sjoerd / Lowisch, Martin et al. | 2009
- 752009
-
Imaging performance of production-worthy multiple-E-beam maskless lithographyLin, S. J. / Wang, W. C. / Chen, Jack J. H. / Krecinic, Faruk / Lin, Burn J. / de Boer, Guido / Slot, Erwin / Jager, Remco / Steenbrink, Stijn / Kampherbeek, Bert-Jan et al. | 2009
- 752011
-
Comparison of rule-based versus model-based decomposition techniqueLaCour, Pat / Dave, Aasutosh / Chou, Dyiann / El Sewefy, Omar et al. | 2009
- 752012
-
Mueller matrix polarimetry for immersion lithography tools with a polarization monitoring system at the wafer planeNomura, Hiroshi / Higashikawa, Iwao et al. | 2009
- 752013
-
Flexible 60-90W ArF light source for double patterning immersion lithography in high volume manufacturingRokitski, Slava / Ishihara, Toshi / Rao, Rajeskar / Jiang, Rui / Riggs, Daniel / Haviland, Mary / Cacouris, Theodore / Brown, Daniel et al. | 2009
- 752014
-
Mask defect specification in the spacer patterning process by using a fail-bit-map analysisMiyoshi, Seiro / Yamaguchi, Shinji / Naka, Masato / Morishita, Keiko / Hirano, Takashi / Morinaga, Hiroyuki / Mashita, Hiromitsu / Kobiki, Ayumi / Kaneko, Makoto / Mukai, Hidefumi et al. | 2009
- 752015
-
Analyzing electrostatic induced damage risk to reticles with an in situ e-reticle systemTu, Richard / Sebald, Thomas et al. | 2009
- 752016
-
In-die actinic metrology on photomasks for low k1 lithographyBeyer, Dirk / Buttgereit, Ute / Scheruebl, Thomas / Zibold, Axel et al. | 2009
- 752017
-
Revisiting adoption of high transmission PSM: pros, cons and path forwardMa, Z. Mark / McDonald, Steve / Progler, Chris et al. | 2009
- 752018
-
Back side photomask haze revisitedGrenon, Brian J. / Kishkovich, Oleg et al. | 2009
- 752019
-
In-shot (intra-field) overlay measurement considering overlay mark pattern dependency and illumination source dependencyLee, Dong-han / Kim, Jang-sun / Lee, Gil-jin / Lee, Sang-ho / Cho, Yong-jin / Kang, Young-Seog / Han, Woo-Sung et al. | 2009
- 752023
-
Overlay improvement by ASML HOWA 5th alignment strategyWang, Raf / Chiang, CY / Hsu, Wilson / Yang, Richer / Shih, Todd / Chen, Jackie / Chiu, Jonathan / Lin, Wythe et al. | 2009
- 752024
-
Characterizing the 65nm through-pitch sensitivity to scanner parameters by CD SEM and scatterometry metrologiesShieh, Jason / Chen, Alek et al. | 2009
- 752025
-
Litho scenario solutions for FinFET SRAM 22nm nodeTseng, Shih-En / Wu, Shun-Der / Wang, Jacques / Kou, Jay / Mouraille, Orion / Jungblut, Reiner / Chiou, Tsann-Bim / Finders, Jo / Chen, Alek / Dusa, Mircea et al. | 2009
- 752026
-
Implementation of new recticle inspection technology for progressive mask defect detection strategy on memory fabLan, Andy / Hsu, Jenny / Shih, Todd T. / Tien, Toroy / Cheng, Jackie / Yeh, Mike / Chen, Ellison / Wu, David et al. | 2009
- 752028
-
Fabrication of diamond and diamond-like carbon molds for nano-imprinting lithographyYu, Jay Wang-Chieh / Cheng, Chiao-Yang / Guo, Yoou-Bin / Hong, Franklin Chau-Nan et al. | 2009
- 752032
-
Durability of self-standing resist sheet composed with micro holesTakano, Akihiro / Kawai, Akira et al. | 2009
- 752033
-
PH control of water flowing in micro structure by local electrical field methodTakano, Akihiro / Kawai, Akira et al. | 2009
- 752034
-
Micro bubble condensation in micro channel controlled by local electrical field methodOhata, Shunsuke / Kawai, Akira et al. | 2009
- 752035
-
In-situ monitoring and control of photoresist parameters during thermal processing in the lithography sequenceWu, Xiaodong / Yang, Geng / Lim, Ee-Xuan / Tay, Arthur et al. | 2009
- 752036
-
Improving 1D optical proximity effect matching for 45-nm node by scatterometry metrologyChang, Dennis / Jungblut, Reiner / Shieh, Jason / Chen, Alek / Hinnen, Paul / Megens, Henry / Schreel, Koen et al. | 2009
- 752037
-
Novel assist feature design to improve depth of focus in low k1 EUV lithographyKang, Hoyoung et al. | 2009
- 752039
-
Dissolved gas quantification and bubble formation in liquid chemical dispenseTom, Glenn / Liu, Wei et al. | 2009
-
The LER/LWR metrology challenge for advance process control through 3D-AFM and CD-SEM [7520-14]Faurie, P. / Foucher, J. / Foucher, A.-L. / SPIE (Society) et al. | 2009
-
Mueller matrix polarimetry for immersion lithography tools with a polarization monitoring system at the wafer plane [7520-36]Nomura, H. / Higashikawa, I. / SPIE (Society) et al. | 2009
-
In-die actinic metrology on photomasks for low k1 lithography [7520-40]Beyer, D. / Buttgereit, U. / Scheruebl, T. / Zibold, A. / SPIE (Society) et al. | 2009
-
Implementation of double patterning process toward 22-nm node (Invited Paper) [7520-48]Yaegashi, H. / Nisimura, E. / Hasebe, K. / Kawasaki, T. / Kushibiki, M. / Hara, A. / Yamauchi, S. / Natori, S. / Shigeru, N. / Murakami, H. et al. | 2009
-
The synthesis and imaging study of a series of novel photoactive polymers with diazoketo groups in their side chains [7520-22]Liu, L. / Zou, Y. / Yang, Y. / Huang, Y. / Liu, Q. / Niu, H. / SPIE (Society) et al. | 2009
-
Bottom-anti-reflective coatings (BARC) for LFLE double patterning process [7520-68]Sakamoto, R. / Endo, T. / Ho, B.-C. / Kimura, S. / Ishida, T. / Kato, M. / Fujitani, N. / Onishi, R. / Hiroi, Y. / Maruyama, D. et al. | 2009
-
Optimization of alignment/overlay sampling and marker layout to improve overlay performance for double patterning technology [7520-15]Chue, C.-F. / Chiou, T.-B. / Huang, C.-Y. / Chen, A.C. / Shih, C.-L. / SPIE (Society) et al. | 2009
-
Validation of the predictive power of a calibrated physical stochastic resist model [7520-57]Robertson, S.A. / Biafore, J.J. / Smith, M.D. / Reilly, M.T. / Wandell, J. / SPIE (Society) et al. | 2009
-
Characterizing the 65nm through-pitch sensitivity to scanner parameters by CD SEM and scatterometry metrologies [7520-74]Shieh, J. / Chen, A. / SPIE (Society) et al. | 2009
-
Implementation of new recticle inspection technology for progressive mask defect detection strategy on memory fab [7520-76]Lan, A. / Hsu, J. / Shih, T.T. / Tien, T. / Cheng, J. / Yeh, M. / Chen, E. / Wu, D. / SPIE (Society) et al. | 2009
-
Expanding the lithography process window (PW) with CDC technology (7520-81)Wang, S.-H. / Ben-Zvi, G. / Chen, Y.-W. / Kuo, C.M. / Graitzer, E. / Cohen, A. / SPIE (Society) et al. | 2009
-
EUV mask pattern inspection with an advanced electron beam inspection system [7520-47]Shimomura, T. / Inazuki, Y. / Tsukasa, A. / Takikawa, T. / Morikawa, Y. / Mohri, H. / Hayashi, N. / Wang, F. / Ma, L. / Zhao, Y. et al. | 2009
-
Advanced patterning solutions based on double exposure: double patterning and beyond [7520-50]Bae, Y.C. / Liu, Y. / Cardolaccia, T. / Spizuoco, K. / Bell, R. / Joesten, L. / Pikon, A. / Reilly, M. / Ablaza, S. / Trefonas, P. et al. | 2009
-
Control of CD errors and hotspots by using a design based verification system [7520-67]Choi, B.-S. / Lee, S.-H. / Kang, Y.-S. / Han, W.-S. / SPIE (Society) et al. | 2009
-
Heat conduction from hot plate to photoresist on top of wafer including heat loss to the environment [7520-88]Jung, M. / Kim, S. / Kim, D.W. / Oh, H.-K. / SPIE (Society) et al. | 2009
-
Decades of rivalry and complementary of photon and electron beams (Plenary Paper) [7520-03]Lin, B.J. / SPIE (Society) et al. | 2009
-
EUVL: towards implementation in production [7520-07]Meiling, H. / Buzing, N. / Cummings, K. / Harned, N. / Hultermans, B. / de Jonge, R. / Kessels, B. / Kurz, P. / Lok, S. / Lowisch, M. et al. | 2009
-
Ultra-sensitive optical metrology for hard disk DTR and BPM imprints [7520-17]Roberts, J. / Hu, L. / Bloomer, I. / Lee, S.-F. / Liu, Y. / SPIE (Society) et al. | 2009
-
In-shot (intra-field) overlay measurement considering overlay mark pattern dependency and illumination source dependency [7520-43]Lee, D. / Kim, J. / Lee, G. / Lee, S. / Cho, Y. / Kang, Y.-S. / Han, W.-S. / SPIE (Society) et al. | 2009
-
A sophisticated metrology solution for advanced lithography: addressing the most stringent needs of today as well as future lithography [7520-44]Shih, V. / Huang, J. / Wang, W. / Huang, G.T. / Chung, H.L. / Ho, A. / Yang, W.T. / Wang, S. / Ke, C.-M. / Chen, L.J. et al. | 2009
-
Model-based scanner tuning for process optimization [7520-60]Chien, T.-C. / Shih, C.Y. / Peng, R.C. / Liu, H.H. / Chen, Y.C. / Lee, H.J. / Lin, J. / Chang, K.W. / Wu, C.M. / Hung, W.H. et al. | 2009
-
Immersion and dry lithography monitoring for flash memories (after develop inspection and photo cell monitor) using a darkfield imaging inspector with advanced binning technology [7520-66]Parisi, P. / Mani, A. / Perry-Sullivan, C. / Kopp, J. / Simpson, G. / Renis, M. / Padovani, M. / Severgnini, C. / Piacentini, P. / Piazza, P. et al. | 2009
-
Green binary and phase shifting mask [7520-83]Shy, S.L. / Hong, C.-S. / Wu, C.-S. / Chen, S.J. / Wu, H.Y. / Ting, Y.-C. / SPIE (Society) et al. | 2009
-
In situ monitoring and control of photoresist parameters during thermal processing in the lithography sequence [7520-115]Wu, X. / Yang, G. / Lim, E.X. / Tay, A. / SPIE (Society) et al. | 2009
-
High power LPP EUV source system development status [7520-06]Lin, B.S.-M. / Brandt, D. / Farrar, N. / SPIE (Society) et al. | 2009
-
Regularization of inverse photomask synthesis to enhance manufacturability [7520-32]Jia, N. / Wong, A.K. / Lam, E.Y. / SPIE (Society) et al. | 2009
-
Possible line edge roughness reduction by anisotropic molecular resist (Best Student Paper Award) [7520-55]Kim, H. / Cho, I.W. / Jang, H. / Kang, M. / Kim, S.W. / Oh, H.-K. / SPIE (Society) et al. | 2009
-
Evaluation of 172-nm wavelength as a possible candidate for 22-nm and below [7520-101]You, J.-H. / Kim, E.-J. / Oh, H.-K. / SPIE (Society) et al. | 2009
-
Relaxation properties of dielectric dipoles of photo resist materials [7520-106]Sasazaki, H. / Kawai, A. / SPIE (Society) et al. | 2009
-
Spontaneous deformation of resist micro pattern due to van der Waals interaction [7520-107]Kawai, A. / Yamaji, T. / SPIE (Society) et al. | 2009
-
Dissolved gas quantification and bubble formation in liquid chemical dispense [7520-119]Tom, G. / Liu, W. / SPIE (Society) et al. | 2009
-
3D integration opportunities, issues, and solutions: a designer's perspective (Plenary Paper) [7520-02]Kwai, D.-M. / Wu, C.-W. / SPIE (Society) et al. | 2009
-
Feasibility studies of source and mask optimization [7520-12]Nakashima, T. / Matsuyama, T. / Owa, S. / SPIE (Society) et al. | 2009
-
Development and evaluation of new MRC parameter for aggressive mask optimization [7520-29]Shim, S. / Kim, Y. / Jang, S. / Kim, H. / Lee, S. / Choi, S. / Cho, H. / Park, C. / SPIE (Society) et al. | 2009
-
Latest results from the Nikon NSR-S620 double patterning immersion scanner [7520-34]Hirano, K. / Shibazaki, Y. / Hamatani, M. / Ishikawa, J. / Iriuchijima, Y. / SPIE (Society) et al. | 2009
-
Analyzing electrostatic induced damage risk to reticles with an in situ e-reticle system [7520-39]Tu, R. / Sebald, T. / SPIE (Society) et al. | 2009
-
Development of silicon glass for etch reverse layer (SiGERL) materials and BARCs for double patterning process [7520-49]Sakaida, Y. / Yaguchi, H. / Sakamoto, R. / Ho, B.-C. / SPIE (Society) et al. | 2009
-
Litho-freeze-litho-etch (LFLE) enabling dual wafer flow coat/develop process and freeze CD tuning bake for >200wph immersion ArF photolithography double patterning [7520-51]Pieczulewski, C.N. / Rosslee, C.A. / SPIE (Society) et al. | 2009
-
Pattern prediction in EUV resists [7520-30]Biafore, J.J. / Smith, M.D. / Wallow, T. / Nalleau, P. / Blankenship, D. / Deng, Y. / SPIE (Society) et al. | 2009
-
Overlay improvement by ASML HOWA 5^t^h alignment strategy [7520-73]Wang, R. / Chiang, C.Y. / Hsu, W. / Yang, R. / Shih, T. / Chen, J. / Chiu, J. / Lin, W. / SPIE (Society) et al. | 2009
-
Study of OPC accuracy by illumination source types [7520-80]Yang, K. / Park, D. / Lee, J. / Oh, S. / Jeon, J. / You, T. / Park, C. / Yim, D. / Park, S. / SPIE (Society) et al. | 2009
-
Preliminary design of a two-dimensional electron beam position monitor system for multiple-electron-beam-direct-write lithography [7520-91]Chen, S.-Y. / Tsai, K.-Y. / Ng, H.-T. / Fan, C.-H. / Pei, T.-H. / Kuan, C.-H. / Chen, Y.-Y. / Yen, J.-Y. / SPIE (Society) et al. | 2009
-
Micro bubble removal from micro pattern structure under alternating electric field [7520-108]Sasazaki, H. / Kawai, A. / SPIE (Society) et al. | 2009
-
Optical critical dimension measurements for patterned media with 10's nm feature size [7520-16]Liu, Y. / Tabet, M. / Hu, J. / Yu, Z. / Hwu, J. / Hu, W. / Zhu, S. / Gauzner, G. / Lee, K. / Lee, S. et al. | 2009
-
Resist double patterning on BARCs and spin-on multilayer materials [7520-20]Guerrero, D.J. / Sullivan, D.M. / Mercado, R.-M.L. / SPIE (Society) et al. | 2009
-
Comparison of rule-based versus model-based decomposition technique [7520-110]LaCour, P. / Dave, A. / Chou, D. / El Sewefy, O. / SPIE (Society) et al. | 2009
-
Performance of a programmable illuminator for generation of freeform sources on high NA immersion systems [7520-33]Mulder, M. / Engelen, A. / Noordman, O. / Kazinczi, R. / Streutker, G. / van Drieenhuizen, B. / Hsu, S. / Gronlund, K. / Degunther, M. / Jurgens, D. et al. | 2009
-
Back side photomask haze revisited [7520-42]Grenon, B.J. / Kishkovich, O. / SPIE (Society) et al. | 2009
-
The effect of UPW quality on photolithography defect [7520-102]Ng, W.H. / Yet, S.I. / Liau, C.Y. / SPIE (Society) et al. | 2009
-
Advances in maskless and mask-based optical lithography on plastic flexible substrates [7520-09]Barbu, I. / Ivan, M.G. / Giesen, P. / Van de Moosdijk, M. / Meinders, E.R. / SPIE (Society) et al. | 2009
-
Challenges in development and construction of stand-alone inspection, metrology, and calibration tools for EUV lithographic applications [7520-90]Underwood, J.H. / Houser, D.C. / Latzke, A.T. / Perera, R.C.C. / SPIE (Society) et al. | 2009
-
Image reversal trilayer materials and processing [7520-19]Abdallah, D.J. / Kurosawa, K. / Wolfer, E. / Monreal, V. / Rahman, M.D. / Lee, D. / Neisser, M. / Dammel, R.R. / SPIE (Society) et al. | 2009
-
Comparison of simulation and wafer results for shadowing and flare effect on EUV alpha demo tool [7520-28]Moon, J. / Kim, C.-K. / Nam, B.-S. / Nam, B.-H. / Lim, C.-M. / Yim, D. / Park, S.-K. / SPIE (Society) et al. | 2009
-
EUV sensitive photo-acid generator sans chromophore [7520-26]Mayya, K.S. / Kang, Y. / Yasue, T. / Oh, S.-H. / Choi, S.-W. / Park, C.-H. / SPIE (Society) et al. | 2009
-
Source mask optimization (SMO) at full chip scale using inverse lithography technology (ILT) based on level set methods [7520-10]Pang, L. / Hu, P. / Peng, D. / Chen, D. / Cecil, T. / He, L. / Xiao, G. / Tolani, V. / Dam, T. / Baik, K.-H. et al. | 2009
-
Revisiting adoption of high transmission PSM: pros, cons and path forward [7520-41]Ma, Z.M. / McDonald, S. / Progler, C. / SPIE (Society) et al. | 2009
-
Systematic defect management by design aware inspection [7520-46]Chang, E. / Park, A. / SPIE (Society) et al. | 2009
-
FAST-LH: a manufacturing-environmental friendly method of lens heating monitoring [7520-89]Yet, S.I. / Lim, F. / SPIE (Society) et al. | 2009
-
Source-mask co-optimization: optimize design for imaging and impact of source complexity on lithography performance [7520-13]Hsu, S. / Li, Z. / Chen, L. / Gronlund, K. / Liu, H. / Socha, R. / SPIE (Society) et al. | 2009
-
Flexible 60-90W ArF light source for double patterning immersion lithography in high volume manufacturing [7520-37]Rokitski, S. / Ishihara, T. / Rao, R. / Jiang, R. / Riggs, D. / Haviland, M. / Cacouris, T. / Brown, D. / SPIE (Society) et al. | 2009
-
Hot spot management through design based metrology: measurement and filtering [7520-63]Lee, T. / Yang, H. / Kim, J. / Jung, A. / Yoo, G. / Yim, D. / Park, S. / Ishikawa, A. / Yamamoto, M. / Vikram, A. et al. | 2009
-
Generation and characterization of spatially distributed laser produced plasma extreme ultraviolet source [7520-96]Chang, K.-P. / Morris, O. / O Reilly, F. / Dunne, P. / O Sullivan, G. / SPIE (Society) et al. | 2009
-
PH control of water flowing in micro structure by local electrical field method [7520-113]Takano, A. / Kawai, A. / SPIE (Society) et al. | 2009
-
Improving 1D optical proximity effect matching for 45-nm node by scatterometry metrology [7520-116]Chang, D. / Jungblut, R. / Shieh, J. / Chen, A. / Hinnen, P. / Megens, H. / Schreel, K. / SPIE (Society) et al. | 2009
-
Filtration condition study for enhanced microbridge reduction [7520-54]Umeda, T. / Watanabe, F. / Tsuzuki, S. / Numaguchi, T. / SPIE (Society) et al. | 2009
-
Litho scenario solutions for FinFET SRAM 22nm node [7520-75]Tseng, S.-E. / Wu, S.-D. / Wang, J. / Kou, J. / Mouraille, O. / Jungblut, R. / Chiou, T.-B. / Finders, J. / Chen, A. / Dusa, M. et al. | 2009
-
Fabrication of diamond and diamond-like carbon molds for nano-imprinting lithography [7520-78]Yu, J.W.-C. / Cheng, C.-Y. / Guo, Y.-B. / Hong, F.C.-N. / SPIE (Society) et al. | 2009
-
Source-mask selection using computational lithography: further investigation incorporating rigorous resist models [7520-11]Kapasi, S. / Robertson, S. / Biafore, J. / Smith, M.D. / SPIE (Society) et al. | 2009
-
Latest developments in photosensitive developable bottom anti-reflective coating (DBARC) [7520-21]Kudo, T. / Chakrapani, S. / Dioses, A. / Ng, E. / Antonio, C. / Parthasarathy, D. / Miyazaki, S. / Ubayashi, Y. / Yamamoto, K. / Akiyama, Y. et al. | 2009
-
A fully model-based methodology for simultaneously correcting EUV mask shadowing and optical proximity effects with improved pattern transfer fidelity and process windows [7520-27]Ng, P.C.W. / Tsai, K.-Y. / Lee, Y.-M. / Pei, T.-H. / Wang, F.-M. / Li, J.-H. / Chen, A.C. / SPIE (Society) et al. | 2009
-
Mask defect specification in the spacer patterning process by using a fail-bit-map analysis [7520-38]Miyoshi, S. / Yamaguchi, S. / Naka, M. / Morishita, K. / Hirano, T. / Morinaga, H. / Mashita, H. / Kobiki, A. / Kaneko, M. / Mukai, H. et al. | 2009
-
Low temperature plasma-enhanced ALD enables cost-effective spacer defined double patterning (SDDP) [7520-53]Beynet, J. / Wong, P. / Miller, A. / Locorotondo, S. / Vangoidsenhoven, D. / Yoon, T.-H. / Demand, M. / Park, H.-S. / Vandeweyer, T. / Sprey, H. et al. | 2009
-
A proven methodology for detecting photo-resist residue and for qualifying photo-resist material by measuring fluorescence using SP2 bare water inspection and SURFmonitor [7520-56]Feiler, D. / Radovanovic, S. / Dighe, P. / Kitnan, A. / Simpson, G. / Schwager, G. / Eynis, A. / Enidjer, D. / SPIE (Society) et al. | 2009
-
Durability of self-standing resist sheet composed with micro holes [7520-112]Takano, A. / Kawai, A. / SPIE (Society) et al. | 2009
-
Imaging performance of production-worthy multiple-E-beam maskless lithography [7520-08]Lin, S.J. / Wang, W.C. / Chen, J.J.H. / Krecinic, F. / Lin, B.J. / de Boer, G. / Slot, E. / Jager, R. / Steenbrink, S. / Kampherbeek, B.-J. et al. | 2009
-
After development inspection (ADI) studies of photo resist defectivity of an advanced memory device [7520-18]Kim, H.-S. / Cho, Y.M. / Lee, B.-H. / Yeh, R. / Ma, E. / Wang, F. / Zhao, Y. / Kanai, K. / Xiao, H. / Jau, J. et al. | 2009
-
High Si content anti-reflective coatings and their extension to a UV freeze dual patterning process [7520-23]Kennedy, J. / Wu, Z. / Flanigan, K. / Dai, J. / Wallow, T. / SPIE (Society) et al. | 2009
-
Using transmission line theory to calculate equivalent refractive index of EUV mask multilayer structures for efficient scattering simulation by finite-difference time-domain method (Best Student Paper Award) [7520-61]Lee, Y.-M. / Li, J.-H. / Ng, P.C.W. / Pei, T.-H. / Wang, F.-M. / Tsai, K.-Y. / Chen, A.C. / SPIE (Society) et al. | 2009
-
Fast converging inverse lithography algorithm incorporating image gradient descent methods [7520-59]Yu, J.-C. / Yu, P. / SPIE (Society) et al. | 2009
-
Scatterometry measurement of asymmetric gratings [7520-45]Li, J. / Hwu, J.J. / Liu, Y. / Rabello, S. / Liu, Z. / Hu, J. / SPIE (Society) et al. | 2009
-
Hierarchical DPT mask planning for contact layer [7520-58]Li, Q. / Ghosh, P. / LaCour, P. / SPIE (Society) et al. | 2009
-
Abbe-PCA-SMO: microlithography source and mask optimization based on Abbe-PCA [7520-86]Chang, S.-J. / Chen, C.C.P. / Melvin, L.S. / SPIE (Society) et al. | 2009
-
Micro bubble condensation in micro channel controlled by local electrical field method [7520-114]Ohata, S. / Kawai, A. / SPIE (Society) et al. | 2009
-
Novel assist feature design to improve depth of focus in low k1 EUV lithography [7520-117]Kang, H. / SPIE (Society) et al. | 2009