Design and implementation of a real-time hierarchical parallel postprocessor for 100 keV electron beam lithography (English)
- New search for: Muray, Lawrence P.
- New search for: Anderson, Erik H.
- New search for: Boegli, Volker
- New search for: Muray, Lawrence P.
- New search for: Anderson, Erik H.
- New search for: Boegli, Volker
In:
Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures Processing, Measurement, and Phenomena
;
15
, 6
;
2204-2208
;
1997
- Article (Journal) / Electronic Resource
-
Title:Design and implementation of a real-time hierarchical parallel postprocessor for 100 keV electron beam lithography
-
Contributors:
-
Published in:
-
Publisher:
- New search for: American Vacuum Society
-
Publication date:1997-11-01
-
Size:5 pages
-
ISSN:
-
DOI:
-
Type of media:Article (Journal)
-
Type of material:Electronic Resource
-
Language:English
-
Keywords:
-
Source:
Table of contents – Volume 15, Issue 6
The tables of contents are generated automatically and are based on the data records of the individual contributions available in the index of the TIB portal. The display of the Tables of Contents may therefore be incomplete.
- 1853
-
Electrostatic tip-surface interaction in scanning force microscopy: A convenient expression useful for arbitrary tip and sample geometriesZypman, Fredy R. / Eppell, Steven J. et al. | 1997
- 1861
-
Local work function for Cu(111)–Au surface studied by scanning tunneling microscopyJia, J. F. / Inoue, K. / Hasegawa, Y. / Yang, W. S. / Sakurai, T. et al. | 1997
- 1865
-
In situ scanning force microscopy study of TiN layers in sulphuric acidHerranen, M. / Nordin, M. / Carlsson, J.-O. et al. | 1997
- 1871
-
Scanning thermal microscope tip-induced chemical reaction on solid organometallic compound thin filmsZhou, L. / Xu, G. Q. / Ng, H. T. / Li, S. F. Y. et al. | 1997
- 1876
-
Tunneling spectroscopy on semiconductors with a low surface state densitySommerhalter, Ch. / Matthes, Th. W. / Boneberg, J. / Leiderer, P. / Lux-Steiner, M. Ch. et al. | 1997
- 1884
-
Dielectric breakdown of silicon oxide studied by scanning probe microscopyYasue, Takao / Yoshida, Yoshiko / Koyama, Hiroshi / Kato, Tadao / Nishioka, Tadashi et al. | 1997
- 1889
-
Synthesis, structure, and optical properties of nanometer-sized capped by anionic surfactantXiaochun, Wu / Rongyao, Wang / Bingsuo, Zou / Pengfei, Wu / jiren, Xu / Wei, Huang et al. | 1997
- 1893
-
Evolution of surface morphology in the initial stage of nitridation of the Si(111)- surface by nitrogen ionsSook Ha, Jeong / Park, Kang-Ho / Yun, Wan Soo / Lee, El-Hang / Park, Seong-Ju et al. | 1997
- 1899
-
Fourier transform infrared study of porous silicon dipped into solutionHuang, Y. M. / Zhai, B. G. et al. | 1997
- 1902
-
Expression for the growth rate of selective epitaxial growth of silicon using dichlorosilane, hydrogen chloride, and hydrogen in a low pressure chemical vapor deposition pancake reactorKongetira, Poonacha / Neudeck, Gerold W. / Takoudis, Christos G. et al. | 1997
- 1908
-
Determination of trace metallic impurities on 200-mm silicon wafers by time-of-flight secondary-ion-mass spectroscopyChu, Paul K. / Schueler, Bruno W. / Reich, Fraser / Lindley, Patricia M. et al. | 1997
- 1913
-
Degradation measurements using fully processed test transistors in high density plasma reactors for failure analysisMuniandy, Ravisangar / Boylan, Ron / Chin, Roland / Bell, Nick / Sankman, Robert et al. | 1997
- 1919
-
Low temperature deposition of using or distributed electron cyclotron resonance microwave plasmaDelmotte, F. / Hugon, M. C. / Agius, B. / Courant, J. L. et al. | 1997
- 1927
-
Effects of cleaning and postoxidation annealing on thin oxidesFranco, G. / Camalleri, C. M. / Raineri, V. / Ghidini, G. / Clementi, C. / Pellizzer, F. et al. | 1997
- 1936
-
Integration of unit processes in a shallow trench isolation module for a 0.25 μm complementary metal–oxide semiconductor technologyChatterjee, A. / Ali, I. / Joyner, K. / Mercer, D. / Kuehne, J. / Mason, M. / Esquivel, A. / Rogers, D. / O’Brien, S. / Mei, P. et al. | 1997
- 1943
-
Correlated electrical and optical measurements of firing semiconductor bridgesKim, Jongdae / Kim, Sang Gi / Jungling, K. C. et al. | 1997
- 1949
-
Generation of subquarter-micron resist structures using optical interference lithography and image reversalDecker, J. Y. / Fernandez, A. / Sweeney, D. W. et al. | 1997
- 1954
-
Investigation of the proximity effect in amorphous electron-beam resistsChen, G. S. / Humphreys, C. J. et al. | 1997
- 1961
-
Air-bridges, air-ramps, planarization, and encapsulation using pyrolytic photoresist in the fabrication of three-dimensional microstructuresPorkolab, G. A. / Chen, Y. J. / Tabatabaei, Seyed Ahmad / Agarwala, Sambhu / Johnson, F. G. / King, Oliver / Dagenais, M. / Frizzell, Russell E. / Beard, W. T. / Stone, D. R. et al. | 1997
- 1966
-
Polarization-dependent contrast in near-field optical microscopyKobayashi, Kiyoshi / Watanuki, Osaaki et al. | 1997
- 1971
-
Synthesis of electrostatic focusing and deflection systemsSzilagyi, M. / Cho, H. et al. | 1997
- 1983
-
Effect of rapid thermal annealing on the microstructure and electrical characteristics of Au/Ni/Au/Ge/Ni multilayers deposited on -type InGaAsMorais, J. / Fazan, T. A. / Landers, R. / Pereira, R. G. / Sato, E. A. S. / Carvalho, W. et al. | 1997
- 1987
-
Bias-temperature stability of the metal-oxide-semiconductor capacitorsde Felipe, T. Suwwan / Murarka, S. P. / Bedell, S. / Lanford, W. A. et al. | 1997
- 1990
-
Characterization of AL–Y alloy thin films deposited by direct current magnetron sputteringLiu, Y. / Singh, R. / Poole, K. / Diefendorf, R. J. / Harriss, J. / Cannon, K. et al. | 1997
- 1995
-
Growth and fractal scaling nature of copper thin films on TiN surface by metal organic chemical vapor deposition from hexafluoroacethylacetonate vinyltrimethylsilanePark, Young-Bae / Rhee, Shi-Woo / Hong, Jae-Hwa et al. | 1997
- 2001
-
Effect of the in situ thermal treatments on Ir/n-type Si (111) Schottky contactsJiménez-Leube, F. J. / Clement, M. / Sanz-Maudes, J. / Blanco, J. M. / Serrano, J. J. et al. | 1997
- 2011
-
Influence of AlSiTi grain boundaries on the plasma etch rateSchönbächler, Edgar / Lecohier, Baudouin / Fichtner, Wolfgang et al. | 1997
- 2016
-
Schottky contacts on reactive-ion etched InGaPKuzmı́k, Ján / Darmo, Juraj / Kúdela, Robert / Haščı́k, Štefan / Mozolová, Želmı́ra et al. | 1997
- 2021
-
Molecular beam epitaxy growth of InP P-HEMTs with enhancement conductivity using an intentional nonlattice-matched buffer layerJiang, C. / Xu, B. / Li, H. X. / Liu, F. Q. / Gong, Q. / Zhou, W. / Zhu, D. H. / Liang, J. B. / Wang, Z. G. et al. | 1997
- 2026
-
Structure and interfacial stability of (111)-oriented InAsSb/InAs strained-layer multiquantum well structuresTheiring, Scott C. / Pillai, Manoj R. / Barnett, Scott A. / Wessels, Bruce W. et al. | 1997
- 2031
-
Fabrication of high-aspect-ratio InP-based vertical-cavity laser mirrors using reactive ion etchingSchramm, Jeff E. / Babić, Dubravko I. / Hu, Evelyn L. / Bowers, John E. / Merz, James L. et al. | 1997
- 2037
-
High-resolution depth profiling of InxAs/GaAs multiple quantum well structures by combination of secondary ion mass spectrometry and x-ray diffraction techniquesGerardi, C. / Giannini, C. / Passaseo, A. / Tapfer, L. et al. | 1997
- 2046
-
Surface modification of InP by diffraction-patterning utilizing laser dry etchingPrasad, Manoj / Ruda, Harry E. / Dubowski, Jan J. et al. | 1997
- 2052
-
Compression in transconductance at low gate voltages in submicron GaAs metal semiconductor field-effect transistorsAhmed, M. M. et al. | 1997
- 2057
-
Role of rate window, transient time, and reverse bias field on the deep levels of LT-GaAs by field effect transient spectroscopyHalder, N. C. / Goodman, T. et al. | 1997
- 2067
-
Electrical characterization of diamond and graphite coated Mo field emittersMcClure, M. T. / Schlesser, R. / McCarson, B. L. / Sitar, Z. et al. | 1997
- 2072
-
Field emission characteristics of diamondlike carbon films synthesized by pulsed laser deposition process using a Au-intermediate layerChuang, F. Y. / Wang, W. C. / Cheng, H. F. / Sun, C. Y. / Lin, I. N. et al. | 1997
- 2077
-
Silicon nanocolloids prepared by a newly designed dc sputtering apparatusZhu, Y. / Kimura, K. / Zhang, L. D. et al. | 1997
- 2093
-
Nanofabrication using neutral atomic beamsThywissen, J. H. / Johnson, K. S. / Younkin, R. / Dekker, N. H. / Berggren, K. K. / Chu, A. P. / Prentiss, M. / Lee, S. A. et al. | 1997
- 2101
-
Single electron electronics: Challenge for nanofabricationAhmed, Haroon et al. | 1997
- 2109
-
Potentials and challenges for lithography beyond 193 nm opticsCanning, John et al. | 1997
- 2112
-
Lithography with 157 nm lasersBloomstein, T. M. / Horn, M. W. / Rothschild, M. / Kunz, R. R. / Palmacci, S. T. / Goodman, R. B. et al. | 1997
- 2117
-
X-ray lithography: Status, challenges, and outlook for 0.13 μmSilverman, Jerome P. et al. | 1997
- 2125
-
Electron beam lithography for 0.13 μm manufacturingMcCord, Mark A. et al. | 1997
- 2130
-
Scattering with angular limitation projection electron beam lithography for suboptical lithographyHarriott, Lloyd R. et al. | 1997
- 2136
-
Ion projection lithography: Next generation technology?Gross, G. et al. | 1997
- 2139
-
Two-dimensional stage self-calibration: Role of symmetry and invariant sets of pointsRaugh, Michael R. et al. | 1997
- 2146
-
Neural network model for global alignment incorporating wafer and stage distortionGhazanfarian, Amir A. / Pease, R. F. W. / Chen, Xun / McCord, Mark A. et al. | 1997
- 2151
-
Monitoring of subquartermicron line and space pattern by ellipsometryArimoto, Hiroshi et al. | 1997
- 2155
-
Scanning electron microscope matching and calibration for critical dimensional metrologyMarchman, Herschel et al. | 1997
- 2162
-
Photon tunneling microscopy of latent resist imagesLiddle, J. A. / Fernandez, A. T. / Cirelli, R. / Mkrtchyan, M. M. / Novembre, A. E. / Peabody, M. L. / Watson, G. P. et al. | 1997
- 2167
-
Application of transmission electron detection to SCALPEL mask metrologyFarrow, R. C. / Postek, M. T. / Keery, W. J. / Jones, S. N. / Lowney, J. R. / Blakey, M. / Fetter, L. A. / Griffith, J. E. / Liddle, J. A. / Hopkins, L. C. et al. | 1997
- 2173
-
Obtaining a physical two-dimensional Cartesian referenceTakac, Michael T. / Ye, Jun / Raugh, Michael R. / Pease, R. Fabian / Berglund, C. Neil / Owen, Geraint et al. | 1997
- 2177
-
Evaluation of the long-term stability of critical-dimension measurement scanning electron microscopes using a calibration standardMizuno, Fumio / Shimizu, Minoru / Sasada, Katsuhiro / Mizuno, Takeshi et al. | 1997
- 2181
-
Contamination reduction in low voltage electron-beam microscopy for dimensional metrologyBruenger, W. H. / Kleinschmidt, H. / Hässler-Grohne, W. / Bosse, H. et al. | 1997
- 2185
-
Accurate alignment on asymmetrical signalsChen, Xun / Ghazanfarian, Amir A. / McCord, Mark / Pease, R. Fabian W. et al. | 1997
- 2189
-
Wall angle measurement with a scanning probe microscope employing a one-dimensional force sensorGriffith, J. E. / Hopkins, L. C. / Bryson, C. E. / Berghaus, A. / Snyder, E. J. / Plombon, J. J. / Vasilyev, L. A. / Hecht, M. / Bindell, J. B. et al. | 1997
- 2193
-
Design and characterization of a high numerical aperture lens system for scanned laser lithographyAllen, P. C. / Bohan, M. J. / Buck, P. D. et al. | 1997
- 2197
-
Metrology of scattering with angular limitation projection electron lithography masksLiddle, J. A. / Blakey, M. I. / Saunders, T. / Farrow, R. C. / Fetter, L. A. / Knurek, C. S. / Kasica, R. / Novembre, A. E. / Peabody, M. L. / Tennant, D. M. et al. | 1997
- 2204
-
Design and implementation of a real-time hierarchical parallel postprocessor for 100 keV electron beam lithographyMuray, Lawrence P. / Anderson, Erik H. / Boegli, Volker et al. | 1997
- 2209
-
Measurement of resist heating in photomask fabricationBabin, Sergey et al. | 1997
- 2214
-
Ion absorbing stencil mask coatings for ion beam lithographyWasson, J. R. / Torres, J. L. / Rampersad, H. R. / Wolfe, J. C. / Ruchhoeft, P. / Herbordt, Martin / Löschner, H. et al. | 1997
- 2218
-
Novel technique for improving pattern placement in membrane mask makingPerkins, F. Keith / Marrian, Christie R. K. / Peckerar, Martin C. et al. | 1997
- 2224
-
Conductive polyaniline: Applications in x-ray mask makingLawliss, M. S. / Rocque, J. M. / Angelopoulos, M. / Puisto, D. M. / Henry, R. W. et al. | 1997
- 2228
-
Low-stress sputtered chromium–nitride hardmasks for x-ray mask fabricationTsuboi, Shinji / Kotsuji, Setsu / Yoshihara, Takuya / Suzuki, Katsumi et al. | 1997
- 2232
-
Uniform low stress oxynitride films for application as hardmasks on x-ray masksDauksher, W. J. / Resnick, D. J. / Smith, S. M. / Pendharkar, S. V. / Tompkins, H. G. / Cummings, K. D. / Seese, P. A. / Mangat, P. J. S. / Chan, J. A. et al. | 1997
- 2238
-
Automatic mask generation in x-ray lithographyBollepalli, B. S. / Khan, M. / Cerrina, F. et al. | 1997
- 2243
-
Practical approach to separating the pattern generator-induced mask CD errors from the blank/process-induced mask CD errors using conventional market measurementsHan, Liqun / Wang, Weidong / McCord, Mark A. / Berglund, C. N. / Pease, R. F. W. / Weaver, L. Suzanne et al. | 1997
- 2249
-
Pattern placement errors in mask membranesFisher, A. H. / Laudon, M. F. / Engelstad, R. L. / Lovell, E. G. / Cerrina, F. et al. | 1997
- 2255
-
Revisiting phase shifting masks in x-ray lithographyKhan, Mumit / Bollepalli, Srinivas / Cerrina, Franco et al. | 1997
- 2259
-
Plasma reactive ion etching of 193 nm attenuated phase shift mask materialsSmith, B. W. / Fonseca, C. / Zavyalova, L. / Alam, Z. / Bourov, A. et al. | 1997
- 2263
-
Effects of accelerating voltage and pattern size on electron scattering by electron-beam maskYamashita, Hiroshi / Nomura, Eiichi / Nozue, Hiroshi et al. | 1997
- 2269
-
Resistless electron beam lithography process for the fabrication of sub-50 nm silicide structuresDrouin, D. / Beauvais, J. / Lavallée, E. / Michel, S. / Mouine, J. / Gauvin, R. et al. | 1997
- 2274
-
Process development of sub-0.5 μm nonvolatile magnetoresistive random access memory arraysNordquist, K. / Pendharkar, S. / Durlam, M. / Resnick, D. / Tehrani, S. / Mancini, D. / Zhu, T. / Shi, J. et al. | 1997
- 2279
-
Electron optical system for the x-ray mask writer EB-X2Saito, Kenichi / Morita, Hirofumi / Kato, Junichi / Shimazu, Nobuo et al. | 1997
- 2284
-
Performance of Zr/O/W Schottky emitters at reduced temperaturesKim, H. S. / Yu, M. L. / Thomson, M. G. R. / Kratschmer, E. / Chang, T. H. P. et al. | 1997
- 2289
-
Blanked aperture array for parallel electron beam lithographyWinograd, G. I. / Pease, R. F. W. / McCord, M. A. et al. | 1997
- 2293
-
Spatial-phase-locked electron-beam lithography with a delay-locked loopGoodberlet, J. / Ferrera, J. / Smith, Henry I. et al. | 1997
- 2298
-
Energy dependence of proximity parameters investigated by fitting before measurement testsAparshina, L. I. / Dubonos, S. V. / Maksimov, S. V. / Svintsov, A. A. / Zaitsev, S. I. et al. | 1997
- 2303
-
Dose, shape, and hybrid modifications for PYRAMID in electron beam proximity effect correctionCook, Brian D. / Lee, Soo-Young et al. | 1997
- 2309
-
Dose modification proximity effect correction scheme with inherent forward scattering correctionsWatson, G. Patrick / Fetter, Linus A. / Liddle, J. Alexander et al. | 1997
- 2313
-
Deep submicron resist profile simulation and characterization of electron beam lithography system for cell projection and direct writingHam, Young-Mog / Lee, Changbuhm / Kim, Soo-Hwan / Chun, Kukjin et al. | 1997
- 2318
-
Atomic force microscope studies of nanolithographic exposure and development of polymethylmethacrylateDobisz, Elizabeth A. / Brandow, Susan L. / Snow, Eric / Bass, Robert et al. | 1997
- 2323
-
Resist processes for low-energy electron-beam lithographySchock, K.-D. / Prins, F. E. / Strähle, S. / Kern, D. P. et al. | 1997
- 2327
-
Control in sub-100 nm lithography in SAL-601Dobisz, Elizabeth A. / Marrian, Christie R. K. et al. | 1997
- 2332
-
Minimum emission current of liquid metal ion sourcesBeckman, J. C. / Chang, T. H. P. / Wagner, A. / Pease, R. F. W. et al. | 1997
- 2337
-
Fabrication of in situ Ohmic contacts patterned in three dimensions using a focused ion beam during molecular beam epitaxial growthSazio, P. J. A. / Jones, G. A. C. / Linfield, E. H. / Ritchie, D. A. et al. | 1997
- 2342
-
N channel metal–oxide–semiconductor field-effect transistor with 0.15 m gate delineated by focused ion beam lithographySuzuki, Kohei / Yamashita, Motoji / Kawakami, Nobuyuki / Nakaue, Akimitsu et al. | 1997
- 2346
-
Focused ion beam sputter yield change as a function of scan speedSantamore, D. / Edinger, K. / Orloff, J. / Melngailis, J. et al. | 1997
- 2350
-
Focused ion beam milling: Depth control for three-dimensional microfabricationVasile, M. J. / Niu, Z. / Nassar, R. / Zhang, W. / Liu, S. et al. | 1997
- 2355
-
Chemically amplified deep ultraviolet resist for positive tone ion exposureBruenger, W. H. / Torkler, M. / Buchmann, L.-M. / Finkelstein, W. et al. | 1997
- 2358
-
Printing halftone photographic images on diamond by focused silicon ion implantationErickson, L. E. / Champion, H. G. / Fraser, J. W. / Hussey, R. / Schmuki, P. / Porco, C. et al. | 1997
- 2362
-
Nanoparticle impact micromachiningGruber, A. / Gspann, J. et al. | 1997
- 2365
-
Development of a high brightness gas field ion sourceEdinger, Klaus / Yun, Victor / Melngailis, John / Orloff, Jon / Magera, Gerald et al. | 1997
- 2369
-
Stochastic Coulomb interactions in ion projection lithography systems with aberration-broadened crossoverKruit, P. / Barth, J. E. / Lammer, G. / Chalupka, A. / Vonach, H. / Löschner, H. / Stengl, G. et al. | 1997
- 2373
-
Optimization of experimental operating parameters for very high resolution focused ion beam applicationsGierak, J. / Vieu, C. / Schneider, M. / Launois, H. / Ben Assayag, G. / Septier, A. et al. | 1997
- 2379
-
Fabrication of high-temperature superconductor Josephson junctions by focused ion beam millingChen, C.-H. / Trajanovic, Z. / Dong, Z. W. / Lobb, C. J. / Venkatesan, T. / Edinger, K. / Orloff, J. / Melngailis, J. et al. | 1997
- 2382
-
Programmable aperture plate for maskless high-throughput nanolithographyBerry, I. L. / Mondelli, A. A. / Nichols, J. / Melngailis, J. et al. | 1997
- 2387
-
Data analysis methods for evaluating lithographic performanceFerguson, Richard A. / Martino, Ronald M. / Brunner, Timothy A. et al. | 1997
- 2394
-
Algorithm for shifter placement with flexible order prioritizingHaruki, Tamae / Tomita, Junji / Asai, Satoru / Hanyu, Isamu et al. | 1997
- 2399
-
Characterizing partial coherence uniformity in a deep ultraviolet step and repeat toolWatson, G. Patrick / Cirelli, Raymond A. / Mkrtchyan, Masis / Travers, Richard J. et al. | 1997
- 2404
-
Photolithography at 0.10 and 0.13 μm using ArF excimer laser lithography in combination with resolution enhancement techniquesChan, M. / Kunz, R. R. / Doran, S. P. / Rothschild, M. et al. | 1997
- 2412
-
Optimal coherent decompositions for radially symmetric optical systemsvon Bünau, R. M. / Pati, Y. C. / Wang, Y.-T. / Pease, R. F. W. et al. | 1997
- 2417
-
Fresnel diffraction mask for optical projection lithographyWatanabe, Hisashi / Okuda, Yoshimitsu et al. | 1997
- 2422
-
Towards 280 nm -line random logic lithography with off-axis illumination and optical proximity correctionGrodnensky, I. / Watson, G. P. / Garofalo, J. / Castro, D. / Zych, L. / Lee, W. et al. | 1997
- 2426
-
Optical proximity correction for intermediate-pitch features using sub-resolution scattering barsFung Chen, J. / Laidig, Tom / Wampler, Kurt E. / Caldwell, Roger et al. | 1997
- 2434
-
Viability of conventional KrF imaging for 150 nm lithographyAzuma, T. / Matsunaga, K. / Kawamura, D. / Mimotogi, S. / Onishi, Y. et al. | 1997
- 2439
-
Methods for fabricating arrays of holes using interference lithographyFernandez, A. / Decker, J. Y. / Herman, S. M. / Phillion, D. W. / Sweeney, D. W. / Perry, M. D. et al. | 1997
- 2444
-
Investigation into excimer laser radiation damage of deep ultraviolet optical phase masking filmsSmith, B. W. / Zavyalova, L. / Bourov, A. / Butt, S. / Fonseca, C. et al. | 1997
- 2448
-
Use of attenuated phase masks in extreme ultraviolet lithographyWood, O. R. / White, D. L. / Bjorkholm, J. E. / Fetter, L. E. / Tennant, D. M. / MacDowell, A. A. / LaFontaine, B. / Kubiak, G. D. et al. | 1997
- 2452
-
Mask blanks for extreme ultraviolet lithography: Ion beam sputter deposition of low defect density Mo/Si multilayersKearney, P. A. / Moore, C. E. / Tan, S. I. / Vernon, S. P. / Levesque, R. A. et al. | 1997
- 2455
-
At-wavelength interferometry for extreme ultraviolet lithographyTejnil, Edita / Goldberg, Kenneth A. / Lee, SangHun / Medecki, Hector / Batson, Phillip J. / Denham, Paul E. / MacDowell, Alastair A. / Bokor, Jeffrey / Attwood, David et al. | 1997
- 2462
-
At-wavelength characterization of an extreme ultraviolet camera from low to mid-spatial frequencies with a compact laser plasma sourceRay-Chaudhuri, A. K. / Krenz, K. D. / Fields, C. H. et al. | 1997
- 2467
-
Minimum critical defects in extreme-ultraviolet lithography masksLin, Yun / Bokor, Jeffrey et al. | 1997
- 2471
-
Evaluation of alignment accuracy in processed wafers and SiC masks on a scattered-light alignment system for x-ray alignersMiyatake, Tsutomu / Hirose, Masaoki / Shoki, Tsutomu / Ohkubo, Ryo / Yamazaki, Kuniaki et al. | 1997
- 2476
-
Overlay performance of 180 nm ground rule generation x-ray lithography alignerChen, A. C. / Flamholz, A. L. / Rippstein, R. / Fair, R. H. / Heald, D. A. / Amodeo, R. J. et al. | 1997
- 2483
-
Origin of stress distribution in sputtered x-ray absorber filmIba, Yoshihisa / Kumasaka, Fumiaki / Aoyama, Hajime / Taguchi, Takao / Yamabe, Masaki et al. | 1997
- 2489
-
Sub-20 nm x-ray nanolithography using conventional mask technologies on monochromatized synchrotron radiationSimon, G. / Haghiri-Gosnet, A. M. / Bourneix, J. / Decanini, D. / Chen, Y. / Rousseaux, F. / Launois, H. / Vidal, B. et al. | 1997
- 2495
-
X-ray micro- and nanofabrication using a laser–plasma source at 1 nm wavelengthTurcu, I. C. E. / Allot, R. M. / Mann, C. M. / Reeves, C. / Ross, I. N. / Lisi, N. / Maddison, B. J. / Moon, S. W. / Prewett, P. / Stevenson, J. T. M. et al. | 1997
- 2503
-
Novel illumination system of synchrotron radiation stepper with full field exposure methodWatanabe, Yutaka / Hara, Shinichi / Mizusawa, Nobutoshi / Fukuda, Yasuaki / Uzawa, Shunichi et al. | 1997
- 2509
-
Direct measurement of the effect of substrate photoelectrons in x-ray nanolithographyCarter, D. J. D. / Pepin, A. / Schweizer, M. R. / Smith, H. I. / Ocola, L. E. et al. | 1997
- 2514
-
X-ray fabrication of nonorthogonal structures using “surface” masksWhite, V. / Herdey, C. / Denton, D. D. / Song, J. et al. | 1997
- 2517
-
X-ray lithography for ground rules in complex patternsHector, Scott / Pol, Victor / Krasnoperova, Azalia / Maldonado, Juan / Flamholz, Alex / Heald, Dave / Stahlhammer, Carl / Galburt, Dan / Amodeo, Ralph / Donohue, Tom et al. | 1997
- 2522
-
Design and fabrication of Fresnel zone plates with large numbers of zonesChen, Z. / Vladimirsky, Y. / Brown, M. / Leonard, Q. / Vladimirsky, O. / Moore, F. / Cerrina, F. / Lai, B. / Yun, W. / Gluskin, E. et al. | 1997
- 2528
-
Resist design concepts for 193 nm lithography: Opportunities for innovation and inventionReichmanis, E. / Nalamasu, O. / Houlihan, F. M. / Wallow, T. I. / Timko, A. G. / Cirelli, R. / Dabbagh, G. / Hutton, R. S. / Novembre, A. E. / Smith, B. W. et al. | 1997
- 2534
-
Specific behavior of chemically amplified systems with low activation energy under electron-beam exposure: Implementation of 248 and 193 nm resistsMortini, B. / Tedesco, S. / Dal’Zotto, B. / Paniez, P. et al. | 1997
- 2541
-
Polymer structure effect on dissolution characteristics and acid diffusion in chemically amplified deep ultraviolet resistsItani, Toshiro / Yoshino, Hiroshi / Hashimoto, Shuichi / Yamana, Mitsuharu / Samoto, Norihiko / Kasama, Kunihiko et al. | 1997
- 2545
-
Latent image characterization of postexposure bake process in chemically amplified resistsOcola, L. E. / Cerrina, F. / May, Tim et al. | 1997
- 2550
-
Deep ultraviolet resists AZ DX-561 and AZ DX-1300P applied for electron beam and masked ion beam lithographyHudek, P. / Kostic, I. / Belov, M. / Rangelow, I. W. / Shi, F. / Pawlowski, G. / Spiess, W. / Buschbeck, H. / Cekan, E. / Eder, S. et al. | 1997
- 2555
-
Low energy electron beam top surface image processing using chemically amplified AXT resistWhelan, C. S. / Tanenbaum, D. M. / La Tulipe, D. C. / Isaacson, M. / Craighead, H. G. et al. | 1997
- 2561
-
Theoretical discussion of diffusion effects in negative chemically amplified resists based on contrast curve simulationPatsis, G. P. / Meneghini, G. / Glezos, N. / Argitis, P. et al. | 1997
- 2565
-
Stress dependent silylation model and two-dimensional profile simulationZuniga, Marco A. / Neureuther, Andrew R. et al. | 1997
- 2570
-
-incorporated nanocomposite resist system for practical nanometer pattern fabricationIshii, T. / Nozawa, H. / Tamamura, T. / Ozawa, A. et al. | 1997
- 2575
-
Increasing plasma etch resistance of resists using fullerene additivesDentinger, Paul M. / Taylor, James W. et al. | 1997
- 2582
-
Radiation-induced reactions of chemically amplified x-ray and electron-beam resists based on deprotection of -butoxycarbonyl groupsKozawa, T. / Nagahara, S. / Yoshida, Y. / Tagawa, S. / Watanabe, T. / Yamashita, Y. et al. | 1997
- 2587
-
Optimizing the resist to the aerial image in a chemically amplified systemFedynyshyn, T. H. / Szmanda, C. R. / Cernigliaro, G. J. et al. | 1997
- 2596
-
Nanolithography performances of ultraviolet III chemically amplified positive resistGrella, L. / Gentili, M. / Di Fabrizio, E. / Baciocchi, M. / Mastrogiacomo, L. / Maggiora, R. / Scopa, L. et al. | 1997
- 2601
-
Investigation of the notching effect for single layer deep ultraviolet resist processingYoshino, Hiroshi / Itani, Toshiro / Hashimoto, Shuichi / Yamana, Mitsuharu / Yoshii, Tsuyoshi / Samoto, Norihiko / Kasama, Kunihiko et al. | 1997
- 2605
-
Top surface imaging process at and below quarter-micron resolution and pattern transfer into metalIrmscher, Mathias / Höfflinger, Bernd / Reuter, Christian / Springer, Reinhard / Stauffer, Craig / Puttock, Mark et al. | 1997
- 2610
-
T-top forming simulation using percolation theoryKamon, K. / Nakazawa, K. / Yamaguchi, A. / Matsuzawa, N. / Ohfuji, T. / Kanzaki, K. / Tagawa, S. et al. | 1997
- 2616
-
Developer temperature effect on negative deep ultraviolet resists: Characterization, modeling, and simulationHagouel, Paul Isaac / Karafyllidis, Ioannis / Neureuther, Andrew R. et al. | 1997
- 2621
-
Ultrasonic and dip resist development processes for 50 nm device fabricationLee, K. L. / Bucchignano, J. / Gelorme, J. / Viswanathan, R. et al. | 1997
- 2627
-
Quarter-micron chemically amplified reaction image determination using arrested developmentRhyner, Steven J. / Taylor, James W. et al. | 1997
- 2632
-
Quantification of the extent of reaction in a negative, novolac-based, chemically amplified resistDentinger, Paul M. / Taylor, James W. et al. | 1997
- 2639
-
0.1 μm WSiN-gate fabrication of GaAs metal-semiconductor field effect transistors using electron cyclotron resonance ion stream etching withJin, Yoshito / Takahashi, Chiharu / Nishimura, Kazumi / Ono, Toshiro / Matsuo, Seitaro et al. | 1997
- 2643
-
Time dependence of etch-induced damage generated by an electron cyclotron resonance sourceBerg, E. W. / Pang, S. W. et al. | 1997
- 2648
-
Characterization of the radiation-enhanced diffusion of dry-etch damage in -GaAsChen, Ching-Hui / Chiu, Yi-Jen / Hu, Evelyn L. et al. | 1997
- 2652
-
Ion induced damage in strained CdZnSe/ZnSe quantum well structuresSparing, L. M. / Wang, P. D. / Mintairov, A. M. / Lee, S. / Bindley, U. / Chen, C. H. / Shi, S. S. / Furdyna, J. K. / Merz, J. L. / Snider, G. L. et al. | 1997
- 2656
-
Low damage thermally assisted electron cyclotron resonance etch technology for wide bandgap II-VI materialsKümmell, T. / Bacher, G. / Forchel, A. / Nürnberger, J. / Faschinger, W. / Landwehr, G. / Jobst, B. / Hommel, D. et al. | 1997
- 2661
-
High reflectivity micromirrors fabricated by coating high aspect ratio Si sidewallsJuan, W. H. / Kao, Y. H. / Pang, S. W. et al. | 1997
- 2666
-
Layer-by-layer sputtering of Si(111) and (001) surfaces mediated by surface vacancy diffusion: Surface physics and application for nanofabricationWatanabe, Heiji / Ichikawa, Masakazu et al. | 1997
- 2672
-
Role of defect diffusion in the InP damage profileYu, D. G. / Chen, C.-H. / Holmes, A. L. / DenBaars, S. P. / Hu, E. L. et al. | 1997
- 2676
-
Interactive effects in reactive ion etching ofvan der Drift, E. / Dinh, B. Q. / Verhoeven, P. A. / Fakkeldij, E. J. M. / Zuiddam, M. R. / Zijlstra, T. et al. | 1997
- 2682
-
Deposition of silicon nitride by low-pressure electron cyclotron resonance plasma enhanced chemical vapor deposition inMoshkalyov, S. A. / Diniz, J. A. / Swart, J. W. / Tatsch, P. J. / Machida, M. et al. | 1997
- 2688
-
Protective film by magnetic plasma polymerization on a Y–Ba–Cu–O superconductorXinyu, Jin / Yu, Zhang / Rui, Wang / Kangsheng, Chen / Suzhen, Liang et al. | 1997
- 2692
-
Electron and ion optical design software for integrated circuit manufacturing equipmentMunro, Eric et al. | 1997
- 2702
-
Compact electrostatic lithography column for nanoscale exposureChisholm, Tom / Liu, Haoning / Munro, Eric / Rouse, John / Zhu, Xieqing et al. | 1997
- 2707
-
Semiconductor on glass photocathodes for high throughput maskless electron beam lithographyBaum, A. W. / Schneider, J. E. / Pease, R. F. W. / McCord, M. A. / Spicer, W. E. / Costello, K. A. / Aebi, V. W. et al. | 1997
- 2713
-
Micromachined single-crystal silicon electron lensesHofmann, Wolfgang / MacDonald, Noel C. et al. | 1997
- 2718
-
Filter design methodology for defect detection in wafer inspectionSocha, Robert J. / Neureuther, Andrew R. et al. | 1997
- 2725
-
Electrostatic deflection aberrations revisited: Solution proposed to an old problemRetsky, Michael et al. | 1997
- 2729
-
Micro-extraction spectrometer structures for voltage contrast in the scanning electron microscopeDinnis, A. R. et al. | 1997
- 2732
-
Spherical aberration corrector using space chargeChao, L. C. / Orloff, J. / Wang, Li et al. | 1997
- 2737
-
Novel objective lens for low voltage electron beam imagingLiu, W. / McCord, M. / Pease, R. F. et al. | 1997
- 2742
-
Miniature electron beam column with a silicon micro field emitterHonjo, Ichiro / Endo, Yasuhiro / Goto, Shunji et al. | 1997
- 2749
-
Fabrication of multiple microcolumn array combined with field emission arrayPark, Jeong-Young / Lera, Jared D. / Yakshin, M. A. / Choi, S. S. / Lee, Y. / Chun, K. J. / Lee, J. D. / Jeon, D. / Kuk, Young et al. | 1997
- 2754
-
Optimization of field-emission columns for next-generation MEBES® systemsPearce-Percy, H. / Abboud, F. / Garcia, R. / Mankos, M. et al. | 1997
- 2760
-
Fabrication of nanoelectromechanical systems in single crystal silicon using silicon on insulator substrates and electron beam lithographyCarr, D. W. / Craighead, H. G. et al. | 1997
- 2764
-
New fabrication techniques for high quality photonic crystalsCheng, Chuan C. / Scherer, Axel / Tyan, Rong-Chung / Fainman, Yeshayahu / Witzgall, George / Yablonovitch, Eli et al. | 1997
- 2768
-
Surface micromachined membranes for tunnel transducersWong, Joyce / Scherer, Axel / George, Thomas et al. | 1997
- 2773
-
Fabrication and behavior of nanoscale field emission structuresDriskill-Smith, A. A. G. / Hasko, D. G. / Ahmed, H. et al. | 1997
- 2777
-
Field emission from gated Si emitter tips with precise gate–tip spacing, gate diameter, tip sharpness, and tip protrusionRakhshandehroo, M. R. / Pang, S. W. et al. | 1997
- 2782
-
Field emission displays based on linear horizontal field emission cathodesKuo, Huei Pei / Burriesci, S. F. / Lin, J. / Miller, D. J. et al. | 1997
- 2786
-
Optical manipulation of a lasing microparticle and its application to near-field microspectroscopySasaki, Keiji / Fujiwara, Hideki / Masuhara, Hiroshi et al. | 1997
- 2791
-
Wire-channel and wrap-around-gate metal–oxide–semiconductor field-effect transistors with a significant reduction of short channel effectsLeobandung, Effendi / Gu, Jian / Guo, Lingjie / Chou, Stephen Y. et al. | 1997
- 2795
-
New complimentary metal–oxide semiconductor technology with self-aligned Schottky source/drain and low-resistance T gatesRishton, S. A. / Ismail, K. / Chu, J. O. / Chan, K. K. / Lee, K. Y. et al. | 1997
- 2799
-
Gate technology for 70 nm metal–oxide–semiconductor field-effect transistors with ultrathin oxidesTennant, D. / Klemens, F. / Sorsch, T. / Baumann, F. / Timp, G. / Layadi, N. / Kornblit, A. / Sapjeta, B. J. / Rosamilia, J. / Boone, T. et al. | 1997
- 2806
-
Fabrication of 30 nm gate length electrically variable shallow-junction metal–oxide–semiconductor field-effect transistors using a calixarene resistSakamoto, T. / Kawaura, H. / Baba, T. / Fujita, J. / Ochiai, Y. et al. | 1997
- 2809
-
Lateral tunnel junction produced by electron-beam-induced depositionKomuro, Masanori / Hiroshima, Hiroshi et al. | 1997
- 2816
-
Self-aligned subchannel implant complementary metal–oxide semiconductor devices fabricationWang, W. / Chang, C. / Ma, D. / Peckerar, M. / Berry, I. / Goldsman, N. / Melngailis, J. et al. | 1997
- 2821
-
Fabrication and transport study of finite lateral superlatticesHannan, M. / Grundbacher, R. / Fay, P. / Adesida, I. / Giannetta, R. W. / Wagner, C. J. / Melloch, M. R. et al. | 1997
- 2825
-
Fabrication of planar silicon nanowires on silicon-on-insulator using stress limited oxidationKedzierski, Jakub / Bokor, Jeffrey / Kisielowski, Christian et al. | 1997
- 2829
-
Low voltage electron-beam lithography based InGaAs/GaAs quantum dot arrays with 1 meV luminescence linewidthsWang, K. H. / Pecher, A. / Höfling, E. / Forchel, A. et al. | 1997
- 2832
-
Differential charge detection for quantum-dot cellular automataAmlani, Islamshah / Orlov, Alexei O. / Snider, Gregory L. / Bernstein, Gary H. et al. | 1997
- 2836
-
Metal–oxide–semiconductor-compatible silicon based single electron transistor using bonded and etched back silicon on insulator materialKöster, T. / Hadam, B. / Hofmann, K. / Gondermann, J. / Stein, J. / Hu, S. / Altmeyer, S. / Spangenberg, B. / Kurz, H. et al. | 1997
- 2840
-
Fabrication and characterization of room temperature silicon single electron memoryGuo, Lingjie / Leobandung, Effendi / Zhuang, Lei / Chou, Stephen Y. et al. | 1997
- 2844
-
Single-electron transistor as a charge sensor for semiconductor applicationsBerman, David / Zhitenev, Nikolai B. / Ashoori, Raymond C. / Smith, Henry I. / Melloch, Michael R. et al. | 1997
- 2848
-
Cell attachment on silicon nanostructuresTurner, S. / Kam, L. / Isaacson, M. / Craighead, H. G. / Shain, W. / Turner, J. et al. | 1997
- 2855
-
Comparative study of gated single crystal silicon and polysilicon field emittersHuq, S. E. / Grayer, G. H. / Prewett, P. D. et al. | 1997
- 2859
-
Efficient diffractive optics made by single-step electron beam lithography in solid PMMACumming, David R. S. / Khandaker, Iman I. / Thoms, Stephen / Casey, Brendan G. et al. | 1997
- 2864
-
Application of amorphous silicon subwavelength gratings in polarization switching vertical-cavity surface-emitting lasersChou, Stephen Y. / Schablitsky, Steven J. / Zhuang, Lei et al. | 1997
- 2868
-
Accurate critical dimension control by using an azide/novolak resist process for electron-beam lithographyYamamoto, Jiro / Uchino, Shou-ichi / Ohta, Hiroya / Yoshimura, Toshiyuki / Murai, Fumio et al. | 1997
- 2872
-
Process optimization for production of sub-20 nm soft x-ray zone platesSpector, S. J. / Jacobsen, C. J. / Tennant, D. M. et al. | 1997
- 2877
-
Influence of secondary electrons in proximal probe lithographyVölkel, B. / Gölzhäuser, A. / Müller, H. U. / David, C. / Grunze, M. et al. | 1997
- 2882
-
Ion trap for mass-selective production of nanoclustersKanayama, Toshihiko / Murakami, Hirohiko et al. | 1997
- 2887
-
Nanochannel fabrication for chemical sensorsStern, Margaret B. / Geis, Michael W. / Curtin, Jane E. et al. | 1997
- 2892
-
Nanometer biodevice fabrication by electron beam lithographyDi Fabrizio, Enzo / Grella, Luca / Baciocchi, Marco / Gentili, Massimo / Ascoli, Cesare / Cappella, Brunero / Frediani, Carlo / Morales, Piero et al. | 1997
- 2897
-
Sub-10 nm imprint lithography and applicationsChou, Stephen Y. / Krauss, Peter R. / Zhang, Wei / Guo, Lingjie / Zhuang, Lei et al. | 1997
- 2905
-
Nanolithography with neutral chromium and helium atomsBrezger, B. / Schulze, Th. / Drodofsky, U. / Stuhler, J. / Nowak, S. / Pfau, T. / Mlynek, J. et al. | 1997
- 2912
-
New approaches to atomic force microscope lithography on siliconBirkelund, K. / Thomsen, E. V. / Rasmussen, J. P. / Hansen, O. / Tang, P. T. / Mo/ller, P. / Grey, F. et al. | 1997
- 2916
-
“Safe” solvent resist process for sub-quarter micron T-gatesVia, D. / Bozada, C. / Cerny, C. / DeSalvo, G. / Dettmer, R. / Ebel, J. / Gillespie, J. / Jenkins, T. / Nakano, K. / Pettiford, C. et al. | 1997
- 2921
-
Influence of electron-beam induced microporosity on masking properties of polymethylmethacrylate in wet etching of nanometer structuresMaximov, I. / Bogdanov, A. L. / Montelius, L. et al. | 1997
- 2925
-
Fabrication and near-room temperature transport of patterned gold cluster structuresClarke, L. / Wybourne, M. N. / Yan, Mingdi / Cai, S. X. / Brown, L. O. / Hutchison, J. / Keana, J. F. W. et al. | 1997
- 2930
-
Characterization of Si-doped layer in GaAs fabricated by a focused ion beam/molecular beam epitaxy combined systemYanagisawa, Junichi / Nakayama, Hiromasa / Oka, Kenji / Nakai, Masaya / Wakaya, Fujio / Yuba, Yoshihiko / Takaoka, Sadao / Murase, Kazuo / Gamo, Kenji et al. | 1997
- 2934
-
Direct epitaxial growth of thin-film structuresEres, Gyula / Hui, Frank Y. C. / Thundat, T. / Joy, D. C. et al. | 1997
- 2940
-
Super-smooth x-ray reflection grating fabricationFranke, A. E. / Schattenburg, M. L. / Gullikson, E. M. / Cottam, J. / Kahn, S. M. / Rasmussen, A. et al. | 1997
- 2946
-
Subwavelength, binary lenses at infrared wavelengthsWendt, J. R. / Vawter, G. A. / Smith, R. E. / Warren, M. E. et al. | 1997