Crystallization and pyroelectric effect of semiconducting YBaCuO thin films deposited at different temperatures (English)
- New search for: Yildiz, Ali
- New search for: Butler, Donald P.
- New search for: Çelik-Butler, Zeynep
- New search for: Kim, Choong-Un
- New search for: Yildiz, Ali
- New search for: Butler, Donald P.
- New search for: Çelik-Butler, Zeynep
- New search for: Kim, Choong-Un
In:
Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures Processing, Measurement, and Phenomena
;
21
, 2
;
837-842
;
2003
- Article (Journal) / Electronic Resource
-
Title:Crystallization and pyroelectric effect of semiconducting YBaCuO thin films deposited at different temperatures
-
Contributors:Yildiz, Ali ( author ) / Butler, Donald P. ( author ) / Çelik-Butler, Zeynep ( author ) / Kim, Choong-Un ( author )
-
Published in:
-
Publisher:
- New search for: American Vacuum Society
-
Publication date:2003-03-01
-
Size:6 pages
-
ISSN:
-
DOI:
-
Type of media:Article (Journal)
-
Type of material:Electronic Resource
-
Language:English
-
Keywords:
-
Source:
Table of contents – Volume 21, Issue 2
The tables of contents are generated automatically and are based on the data records of the individual contributions available in the index of the TIB portal. The display of the Tables of Contents may therefore be incomplete.
- 633
-
Design of a shallow thermally stable ohmic contact to p-type InGaSbWang, S. H. / Mohney, S. E. / Hull, B. A. / Bennett, B. R. et al. | 2003
- 641
-
Effects of KrF (248 nm) excimer laser irradiation on electrical and optical properties of GaN:MgKim, Dong-Joon / Kim, Hyun-Min / Han, Myung-Geun / Moon, Yong-Tae / Lee, Seonghoon / Park, Seong-Ju et al. | 2003
- 645
-
Characterization of vapor deposited poly (ethylene glycol) films on silicon surfaces for surface modification of microfluidic systemsPopat, Ketul C. / Johnson, Robert W. / Desai, Tejal A. et al. | 2003
- 655
-
Modeling the impact of photoresist trim etch process on photoresist surface roughnessRauf, Shahid / Stout, Phillip J. / Cobb, Jonathan et al. | 2003
- 660
-
Large area direct nanoimprinting of gel gratings for optical applicationsLi, Mingtao / Tan, Hua / Chen, Lei / Wang, Jian / Chou, Stephen Y. et al. | 2003
- 664
-
Silicon nanowire with programmable conductivity analyzed by scanning Maxwell-stress microscopyMatsukawa, Takashi / Kanemaru, Seigo / Masahara, Meishoku / Nagao, Masayoshi / Tanoue, Hisao / Itoh, Junji et al. | 2003
- 670
-
Properties of ZnO-doped thin films by rf sputteringHuang, Cheng-Liang / Hsu, Cheng-Shing et al. | 2003
- 677
-
Stability improvement of deuterated amorphous silicon thin-film transistors characterized by modified Schottky-contact gated-four-probe methodLiu, Sheng-Da / Shih, An / Chen, Shen-De / Lee, Si-Chen et al. | 2003
- 683
-
Cell behavior on lithographically defined nanostructured substratesTeixeira, Ana I. / Abrams, George A. / Murphy, Christopher J. / Nealey, Paul F. et al. | 2003
- 688
-
Room-temperature nanoimprint and nanotransfer printing using hydrogen silsequioxaneMatsui, S. / Igaku, Y. / Ishigaki, H. / Fujita, J. / Ishida, M. / Ochiai, Y. / Namatsu, H. / Komuro, M. et al. | 2003
- 693
-
Fabrication and electrical characteristics of carbon nanotube-based microcathodes for use in a parallel electron-beam lithography systemTeo, K. B. K. / Chhowalla, M. / Amaratunga, G. A. J. / Milne, W. I. / Legagneux, P. / Pirio, G. / Gangloff, L. / Pribat, D. / Semet, V. / Binh, Vu Thien et al. | 2003
- 698
-
Correlation between current–voltage characteristics and dislocations evaluated with submicrometer Schottky contacts on grown by metalorganic chemical vapor depositionShiojima, Kenji / Suemitsu, Tetsuya et al. | 2003
- 706
-
Multiple-pulse laser annealing of preamorphized silicon for ultrashallow boron junction formationPoon, Chyiu Hyia / Cho, Byung Jin / Lu, Yong Feng / Bhat, Mousumi / See, Alex et al. | 2003
- 710
-
Electron transport in a submicron-scale AlGaAs/GaAs field-effect transistor with InAs nanodots as the floating gateKoike, Kazuto / Sasa, Shigehiko / Inoue, Masataka / Yano, Mitsuaki et al. | 2003
- 714
-
Quantitative method of image analysis when drift is present in a scanning probe microscopeHuerth, S. H. / Hallen, H. D. et al. | 2003
- 719
-
Fabrication and characterization of silicon nanocrystals by thermal oxidation of a-Si:H films in airKohli, Sandeep / Theil, Jeremy A. / Snyder, Rick. D. / Rithner, Christopher D. / Dorhout, Peter K. et al. | 2003
- 729
-
Carrier spilling revisited: On-bevel junction behavior of different electrical depth profiling techniquesClarysse, T. / Eyben, P. / Duhayon, N. / Xu, M. W. / Vandervorst, W. et al. | 2003
- 737
-
Bias-induced junction displacements in scanning spreading resistance microscopy and scanning capacitance microscopyEyben, P. / Duhayon, N. / Clarysse, T. / Vandervorst, W. et al. | 2003
- 744
-
Identification of artifacts in Auger electron spectroscopy due to surface topographyGelsthorpe, A. / El-Gomati, M. M. et al. | 2003
- 748
-
High-resolution complex structures for two-dimensional photonic crystals realized by x-ray diffraction lithographyBusinaro, L. / Romanato, F. / Candeloro, P. / Di Fabrizio, E. / Patrini, M. / Galli, M. / Andreani, C. / Passaseo, A. / De Vittorio, M. et al. | 2003
- 754
-
Structural study of amorphous vanadium oxide films for thin film microbatteryKim, Han-Ki / Seong, Tae-Yeon / Yoon, Young Soo et al. | 2003
- 760
-
Temperature-dependent characteristics of an As pseudomorphic double heterojunction modulation doped field-effect transistor with a GaAs/AlGaAs superlattice buffer layerLi, Yih-Juan / Hsu, Wei-Chou / Wang, Sheng-Yung et al. | 2003
- 763
-
Fabrication of monodomain alumina pore arrays with an interpore distance smaller than the lattice constant of the imprint stampChoi, J. / Nielsch, K. / Reiche, M. / Wehrspohn, R. B. / Gösele, U. et al. | 2003
- 767
-
Study of titanium silicide formation using spike anneal for integrated chip manufacturingTan, C. C. / Lu, L. / Lai, C. W. / See, A. / Chan, L. H. et al. | 2003
- 775
-
Single electron transistors with junctionsDolata, R. / Scherer, H. / Zorin, A. B. / Niemeyer, J. et al. | 2003
- 781
-
Influence of hydrogen plasma treatment on boron implanted junctions in siliconRangan, Sanjay / Horn, Mark / Ashok, S. / Mohapatra, Y. N. et al. | 2003
- 785
-
Improvement of mechanical properties of nanometer period multilayer films at interfaces of each layerMiyake, Shojiro et al. | 2003
- 790
-
Sub-0.1 μm nitride hard mask open process without precuring the ArF photoresistKim, J. / Chae, Y. S. / Lee, W. S. / Shon, J. W. / Kang, C. J. / Han, W. S. / Moon, J. T. et al. | 2003
- 795
-
NiAuGeAu ohmic contacts for a planar InP-based high electron mobility transistor structure with suppressed drain conductance frequency dispersionArai, Tomoyuki / Sawada, Ken / Hara, Naoki et al. | 2003
- 800
-
Dry etching of ZnO films and plasma-induced damage to optical propertiesPark, J. S. / Park, H. J. / Hahn, Y. B. / Yi, G.-C. / Yoshikawa, A. et al. | 2003
- 804
-
Multilayer diffusion barrier for copper metallization using a thin interlayer metal Cr, and Zr) between two TiN filmsKim, Soo-Hyun / Nam, Ki Tae / Datta, Arindom / Kim, Hyun-Mi / Kim, Ki-Bum / Kang, Dae-Hwan et al. | 2003
- 814
-
Optical properties of thin film multilayer films for 157 nm optical lithographyRack, Philip D. / Lassiter, Matthew / Bourov, Anatoli / Baiko, Dennis / Smith, Bruce et al. | 2003
- 818
-
Patterning thin films using synchrotron radiation stimulated etching with a Co contact maskWang, Changshun / Moré, Sam Dylan / Wang, Zhihong / Yamamura, Shusaku / Nonogaki, Yoichi / Urisu, Tsuneo et al. | 2003
- 823
-
Development of an electron-beam lithography system for high accuracy masksKawano, H. / Ito, H. / Mizuno, K. / Matsuzaka, T. / Kawasaki, K. / Saitou, N. / Ohta, H. / Sohda, Y. et al. | 2003
- 828
-
Integrated equipment-feature modeling investigation of fluorocarbon plasma etching of and photoresistZhang, Da / Rauf, Shahid / Sparks, Terry G. / Ventzek, Peter L. G. et al. | 2003
- 837
-
Crystallization and pyroelectric effect of semiconducting YBaCuO thin films deposited at different temperaturesYildiz, Ali / Butler, Donald P. / Çelik-Butler, Zeynep / Kim, Choong-Un et al. | 2003
- 843
-
Inductively coupled plasma reactive ion etching of GaInAsSb and AlGaAsSb for quaternary antimonide multiple interconnected module thermophotovoltaicsPeake, G. M. / Shul, R. J. / Ashby, C. I. H. / Cederberg, J. G. / Hafich, M. J. / Biefeld, R. M. / Palmisiano, M. N. et al. | 2003
- 848
-
Properties of reactive-sputtered films for complementary metal–oxide–semiconductor silicon storage node electrode diffusion barriersKim, Sam-Dong / Rhee, Jin-Koo / Park, Hyung-Moo et al. | 2003
- 858
-
Improving the quality of electroplated copper films by rapid thermal annealingChang, Shih-Chieh / Shieh, Jia-Min / Dai, Bau-Tong / Feng, Ming-Shiann / Wang, Ying-Lang et al. | 2003
- 862
-
Applications of micro-Raman spectroscopy in salicide characterization for Si device fabricationZhao, F. F. / Chen, S. Y. / Shen, Z. X. / Gao, X. S. / Zheng, J. Z. / See, A. K. / Chan, L. H. et al. | 2003
- 868
-
Experimental studies of the cap structure of single-walled carbon nanotubesDean, Kenneth A. / Chalamala, Babu R. et al. | 2003
- 872
-
Analysis of the I–V characteristics of Al/4H-SiC Schottky diodesZhang, Jingyan / Harrell, William R. et al. | 2003
- 879
-
Organic modified Schottky contacts: Barrier height engineering and chemical stabilityKampen, T. U. / Park, S. / Zahn, D. R. T. et al. | 2003
- 883
-
Growth and characterization of modulation-doped double barrier quantum well infrared photodetectorsLuna, E. / Guzmán, A. / Sánchez-Rojas, J. L. / Tijero, J. M. G. / Hey, R. / Hernando, J. / Muñoz, E. et al. | 2003
- 888
-
Characterization of different-Al-content heterostructures and high-electron-mobility transistors on sapphireArulkumaran, S. / Egawa, T. / Ishikawa, H. / Jimbo, T. et al. | 2003
- 895
-
Role of in the oxidation of Si(100)Kaspar, Tiffany / Tuan, Allan / Tonkyn, Russell / Hess, Wayne P. / Rogers, J. W. / Ono, Yoshi et al. | 2003
- 900
-
Low-loss InP-based photonic-crystal waveguides etched with chemically assisted ion beam etchingMulot, M. / Anand, S. / Swillo, M. / Qiu, M. / Jaskorzynska, B. / Talneau, A. et al. | 2003
- 904
-
Chemical topography analyses of silicon gates etched in and high density plasmasVallier, L. / Foucher, J. / Detter, X. / Pargon, E. / Joubert, O. / Cunge, G. / Lill, T. et al. | 2003
- 912
-
Deep reactive ion etching characteristics of a macromachined chemical reactorBesser, R. S. / Shin, W. C. et al. | 2003
- 916
-
Comparative measurements of the piezoelectric coefficient of a lead zirconate titanate film by piezoresponse force microscopy using electrically characterized tipsLin, Heh-Nan / Chen, Sy-Hann / Ho, Shu-Te / Chen, Ping-Ren / Lin, I-Nan et al. | 2003
- 919
-
Contact resistance of newly proposed RuTiN and RuTiO diffusion barriers for future high-density memory capacitorsYoon, Dong-Soo / Roh, Jae Sung et al. | 2003