Recent advances in secondary ion mass spectrometry to characterize ultralow energy ion implants (English)
- New search for: Chia, Victor K. F.
- New search for: Mount, Gary R.
- New search for: Edgell, Michael J.
- New search for: Magee, Charles W.
- New search for: Chia, Victor K. F.
- New search for: Mount, Gary R.
- New search for: Edgell, Michael J.
- New search for: Magee, Charles W.
In:
Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures Processing, Measurement, and Phenomena
;
17
, 5
;
2345-2351
;
1999
- Article (Journal) / Electronic Resource
-
Title:Recent advances in secondary ion mass spectrometry to characterize ultralow energy ion implants
-
Contributors:Chia, Victor K. F. ( author ) / Mount, Gary R. ( author ) / Edgell, Michael J. ( author ) / Magee, Charles W. ( author )
-
Published in:
-
Publisher:
- New search for: American Vacuum Society
-
Publication date:1999-09-01
-
Size:7 pages
-
ISSN:
-
DOI:
-
Type of media:Article (Journal)
-
Type of material:Electronic Resource
-
Language:English
-
Keywords:
-
Source:
Table of contents – Volume 17, Issue 5
The tables of contents are generated automatically and are based on the data records of the individual contributions available in the index of the TIB portal. The display of the Tables of Contents may therefore be incomplete.
- 1897
-
Plane-view observation technique of silicon nanowires by transmission electron microscopyTsutsumi, Toshiyuki / Suzuki, Eiichi / Ishii, Kenichi / Kanemaru, Seigo / Maeda, Tatsuro / Tomizawa, Kazutaka et al. | 1999
- 1903
-
Study of growth process of germanium nanocrystals using a grazing incidence x-ray diffraction methodNinomiya, Hideyuki / Itoh, Nobuo / Rath, Shyama / Nozaki, Shinji / Morisaki, Hiroshi et al. | 1999
- 1906
-
Surface-adsorbed polystyrene spheres as a template for nanosized metal particle formation: Optical properties of nanosized Au particleTakei, H. et al. | 1999
- 1912
-
Role of embedded titanium nanoparticles for enhanced chemical vapor deposition diamond formation on siliconShima, R. / Chakk, Y. / Folman, M. / Hoffman, A. / Lai, F. / Prawer, S. et al. | 1999
- 1919
-
Fabrication of microdiamond array and electrical characterization of individual diamond microcrystals based on scanning probe microscopySugimura, Hiroyuki / Ushiyama, Kazuya / Sato, Yoshiki / Takai, Osamu / Sakamoto, Yukihiro / Takaya, Matsufumi / Nakagiri, Nobuyuki et al. | 1999
- 1923
-
Time-of-flight high-mass spectrometer observation of large size Nb clusters toward assembling of size controlled clustersHihara, Takehiko / Sumiyama, Kenji et al. | 1999
- 1930
-
Surface potential of ferroelectric thin films investigated by scanning probe microscopyChen, X. Q. / Yamada, H. / Horiuchi, T. / Matsushige, K. / Watanabe, S. / Kawai, M. / Weiss, P. S. et al. | 1999
- 1935
-
Atomic force microscopy of oxidative coupling reactions of phenols with in the solid stateZeng, Qingdao / Wang, Chen / Bai, Chunli / Zeng, Pengju / Li, Yan / Yan, Xinjian et al. | 1999
- 1941
-
High-resolution imaging of single-stranded DNA on mica surface under ultrahigh vacuum conditions by noncontact atomic force microscopyMatsumoto, Takuya / Maeda, Yasushi / Naitoh, Yasuhisa / Kawai, Tomoji et al. | 1999
- 1946
-
Use of the Schiller decapitation process for the manufacture of high quality tungsten scanning tunneling microscopy tipsde Raad, G. J. / Koenraad, P. M. / Wolter, J. H. et al. | 1999
- 1954
-
Novel scanning near-field optical microscopy/atomic force microscope probes by combined micromachining and electron-beam nanolithographyZhou, H. / Midha, A. / Bruchhaus, L. / Mills, G. / Donaldson, L. / Weaver, J. M. R. et al. | 1999
- 1959
-
Three behavioral states observed in field emission from single-walled carbon nanotubesDean, Kenneth A. / von Allmen, Paul / Chalamala, Babu R. et al. | 1999
- 1970
-
Field emission properties of nanocrystalline chemically vapor deposited-diamond filmsGröning, O. / Küttel, O. M. / Gröning, P. / Schlapbach, L. et al. | 1999
- 1987
-
Simulation of thermionic emission from aluminum gallium nitride cathodes at elevated temperaturesHatfield, C. W. / Bilbro, G. L. et al. | 1999
- 1993
-
Cold cathode field emitter array on a quadrupole mass spectrometer: Route to miniaturizationFelter, T. E. et al. | 1999
- 1997
-
Growth and postgrowth rapid thermal annealing of InAsN/InGaAs single quantum well on InP grown by gas source molecular beam epitaxyWang, Jyh-Shyang / Lin, Hao-Hsiung et al. | 1999
- 2001
-
In situ preparation of the semiconductor–superconductor interface: Nb contacts on InAs quantum wellsBellomi, G. / Mitchell, W. J. / Hu, E. L. et al. | 1999
- 2005
-
Luminescence properties of CdS quantum dots on ZnSeKobayashi, M. / Nakamura, S. / Kitamura, K. / Umeya, H. / Jia, A. / Yoshikawa, A. / Shimotomai, M. / Kato, Y. / Takahashi, K. et al. | 1999
- 2009
-
Practical aspects of solid source molecular beam epitaxial growth of phosphorus-containing filmsHoke, W. E. / Lemonias, P. J. et al. | 1999
- 2015
-
Oxygen implant isolation of n-GaN field-effect transistor structuresDang, G. / Cao, X. A. / Ren, F. / Pearton, S. J. / Han, J. / Baca, A. G. / Shul, R. J. et al. | 1999
- 2019
-
More exact method of determination of the trap concentration of deep levels: Application to molecular beam epitaxy-grown, low temperature GaAsHalder, N. C. / Zhao, X. et al. | 1999
- 2025
-
Microscopic description of electronic structure and scattering in disordered antimonide-based heterostructuresShaw, M. J. / Hagon, J. P. / Corbin, E. A. / Jaros, M. et al. | 1999
- 2030
-
Effect of defect density on the electrical characteristics of n-type GaN Schottky contactsShiojima, Kenji / Woodall, Jerry M. / Eiting, Christopher J. / Grudowski, Paul A. / Dupuis, Russell D. et al. | 1999
- 2034
-
Plasma nitridation of thin Si layers for GaAs dielectricsWang, Z. / Diatezua, D. M. / Park, D-G. / Chen, Z. / Morkoç, H. / Rockett, A. et al. | 1999
- 2040
-
Rapid annealing study of neutron-irradiated GaAs by Rutherford backscattering spectrometry/channelingLiu, Jian / Wang, Pei-xuan et al. | 1999
- 2045
-
Real time monitoring and control of wet etching of using real time spectroscopic ellipsometryCho, Sang-Jun / Snyder, P. G. et al. | 1999
- 2050
-
Via-hole etching for SiCLeerungnawarat, P. / Hays, D. C. / Cho, H. / Pearton, S. J. / Strong, R. M. / Zetterling, C.-M. / Östling, M. et al. | 1999
- 2055
-
Fast, smooth, and anisotropic etching of SiC usingSo, Myeong S. / Lim, Seung-Gu / Jackson, Thomas N. et al. | 1999
- 2058
-
Extreme ultraviolet emission of laser-produced plasmas using a cryogenic xenon targetSchriever, G. / Bergmann, K. / Lebert, R. et al. | 1999
- 2061
-
Model etch profiles for ion energy distribution functions in an inductively coupled plasma reactorChen, Wenjing / Abraham-Shrauner, Barbara / Woodworth, Joseph R. et al. | 1999
- 2070
-
Micromachined, reusable shadow mask for integrated optical elements grown by metalorganic chemical vapor depositionPeake, G. M. / Zhang, L. / Li, N. Y. / Sarangan, A. M. / Willison, C. G. / Shul, R. J. / Hersee, S. D. et al. | 1999
- 2074
-
Mesoscopic hemisphere arrays for use as resist in solid state structure fabricationGreen, Mino / Tsuchiya, Shin et al. | 1999
- 2084
-
Application of development-free vapor photolithography in silicon nitride etchingHong, Xiaoyin / Duan, Shengquan / Lu, Jianping / Wang, Peiqing / Chen, Yongqi et al. | 1999
- 2090
-
Studies on the interfacial etching reaction of development-free vapor photolithographyHong, Xiaoyin / Lu, Jianping / Duan, Shengquan / Chen, Qidao / Wang, Peiqing et al. | 1999
- 2097
-
Control of photogenerated acid diffusion and evaporation by copolymerization with a basic monomerKim, Jin-Baek / Kwon, Young-Gil / Choi, Jae-Hak / Jung, Min-Ho et al. | 1999
- 2103
-
Phantom exposures of chemically amplified resists caused by acids generated in environmental air in synchrotron radiation lithographyDeguchi, K. / Nakamura, J. / Kawai, Y. / Nakanishi, K. / Okada, I. / Fukuda, M. / Oda, M. / Kochiya, H. et al. | 1999
- 2109
-
Control of spatial and transient temperature trajectories for photoresist processingEl-Awady, Khalid / Schaper, Charles D. / Kailath, Thomas et al. | 1999
- 2115
-
Analysis of Joule heating in multilevel interconnectsShen, Y.-L. et al. | 1999
- 2122
-
Use of atomic layer epitaxy for fabrication of Si/TiN/Cu structuresMårtensson, Per / Juppo, Marika / Ritala, Mikko / Leskelä, Markku / Carlsson, Jan-Otto et al. | 1999
- 2129
-
Radio frequency plasma chemical vapor deposited thin films of diamond-like carbon/ nanocomposites by way of tetraethoxysilaneSenkevich, Jay J. / Leber, Donald E. / Tutor, Michael J. / Heiks, Noel A. / Ten Eyck, Greg A. / Scherrer, David W. et al. | 1999
- 2136
-
Process integration induced thermodesorption from resin dielectric based interconnectsBaklanov, M. R. / Muroyama, M. / Judelewicz, M. / Kondoh, E. / Li, H. / Waeterloos, J. / Vanhaelemeersch, S. / Maex, K. et al. | 1999
- 2147
-
Effects of surface topography on oxide deposition rates using chemistryDoyle, James R. / Feng, G. F. J. et al. | 1999
- 2153
-
Deuterium sintering of silicon-on-insulator structures: D diffusion and replacement reactions at the interfaceWallace, R. M. / Chen, P. J. / Archer, L. B. / Anthony, J. M. et al. | 1999
- 2163
-
Si single-electron tunneling transistor with nanoscale floating dot stacked on a Coulomb island by self-aligned processNakajima, Anri / Futatsugi, Toshiro / Kosemura, Kinjiro / Fukano, Tetsu / Yokoyama, Naoki et al. | 1999
- 2172
-
Mechanical response of thin films with helical microstructuresSeto, M. W. / Robbie, K. / Vick, D. / Brett, M. J. / Kuhn, L. et al. | 1999
- 2178
-
Study of local stress using stress-absorbing Si diaphragmZheng, D. W. / Wang, XinHua / Shyu, K. / Chang, C.-T. / Guo, Y. / Sarihan, V. / Wen, Weijia / Tu, K. N. et al. | 1999
- 2182
-
Characterization of ( thin films deposited on /Si substrates with different Ti buffer layer thicknessesLee, Jae-Chang / Yoon, Soon-Gil et al. | 1999
- 2186
-
Ion beam deposition of permanent magnet layers for liftoff processesHegde, Hari / Wang, Jinsong / Devasahayam, Adrian J. / Kanarov, Viktor / Hayes, Alan / Yevtukhov, Rustam / Bozeman, Steve / Anderson, Paul / Tabat, Ned / Ryan, Patrick et al. | 1999
- 2191
-
Determination of silicon oxide layer thickness by time-of-flight secondary ion mass spectroscopyBrox, O. / Iltgen, K. / Hellweg, S. / Benninghoven, A. et al. | 1999
- 2193
-
Erratum: “Low temperature metalorganic chemical vapor deposition of tungsten nitride as diffusion barrier for copper metallization” [J. Vac. Sci. Technol. B 17, 1101 (1999)]Kelsey, Jean E. / Goldberg, Cindy / Nuesca, Guilleromo / Peterson, Gregory / Kaloyeros, Alain E. / Arkles, Barry et al. | 1999
- 2197
-
Characteristics of chemically vapor deposited TiN films prepared using tetrakis-ethylmethyl-amido-titaniumKim, Do-Heyoung / Lim, Gyeong Taek / Kim, Su-Kyung / Park, Jin Won / Lee, Jae-Gab et al. | 1999
- 2204
-
Reactive ion etch of patterned and blanket silver thin films in and glow dischargesNguyen, Phucanh / Zeng, Yuxiao / Alford, T. L. et al. | 1999
- 2210
-
Quantitative study of chemical mechanical planarization process affected by bare silicon wafer front surface topographyShan Xu, C. / Liu, Jerry / Xia, Yong et al. | 1999
- 2216
-
Dependence of the performance and reliability of n-metal-oxide-silicon field effect transistors on interlayer dielectric processingTrabzon, L. / Awadelkarim, O. O. / Werking, J. et al. | 1999
- 2222
-
Ultrathin oxide films deposited using electron cyclotron resonance sputterAmazawa, Takao / Ono, Toshiro / Shimada, Masaru / Matsuo, Seitaro / Oikawa, Hideo et al. | 1999
- 2226
-
Growth characterization of rapid thermal oxidesLai, W. H. / Li, M. F. / Chan, L. / Chua, T. C. et al. | 1999
- 2239
-
Effects of ramp-up rates on the salicide processTan, C. C. / Lu, L. / Chen, S. Y. / Shen, Z. X. / See, A. / Chan, L. H. / Chua, L. H. / Chan, T. K. L. et al. | 1999
- 2243
-
Selectivity to silicon nitride in chemical vapor deposition of titanium silicideMaa, J. S. / Howard, D. J. / He, S. S. / Tweet, D. J. / Stecker, L. / Stecker, G. / Hsu, S. T. et al. | 1999
- 2248
-
Minimization of chemical-mechanical planarization (CMP) defects and post-CMP cleaningZhang, Liming / Raghavan, Srini / Weling, Milind et al. | 1999
- 2256
-
Gap-filling property of Cu film by chemical vapor depositionKobayashi, Akiko / Sekiguchi, Atsushi / Koide, Tomoaki / Okada, Osamu / Zhang, Minjuan / Egami, Akihiro / Sunayama, Hideki et al. | 1999
- 2262
-
Selectivity switch concept in Cu chemical mechanical planarization and its implementation on orbital toolsGotkis, Y. / Alamgir, S. / Yang, L. / Dai, F. / Mitchell, F. / Nguyen, J. / Shumway, L. / Walesa, L. R. / Yang, J. / Nunan, P. et al. | 1999
- 2272
-
High density plasma deposited phosphosilicate glass as pre-metal dielectrics for advanced self-aligned contacts in sub 0.25 μm device technologyYu, Jengyi E. / Qiao, Jianmin / Jin, Bo / Gopalan, Prabhuram / Feng, Jeff G. et al. | 1999
- 2277
-
Metal silicides synthesized by high current metal–ion implantationLiu, B. X. / Gao, K. Y. / Zhu, H. N. et al. | 1999
- 2284
-
Spectroscopic ellipsometry investigation of silicide formation by rapid thermal processHu, Yaozhi / Tay, Sing Pin et al. | 1999
- 2290
-
Plasma doping for shallow junctionsGoeckner, M. J. / Felch, S. B. / Fang, Z. / Lenoble, D. / Galvier, J. / Grouillet, A. / Yeap, G. C.-F. / Bang, D. / Lin, M.-R. et al. | 1999
- 2294
-
Optimizing Pd–Ge ohmic contact to GaAs through microstructure controlRadulescu, F. / McCarthy, J. M. et al. | 1999
- 2300
-
Integration methodology of chemical vapor deposition TiN, chemical vapor deposition W and W chemical mechanical planarization for sub-quarter micron process applicationWu, Jun / Wang, Y. L. / Dun, Jowei / Wu, Y. L. / Zhang, H. / Wang, Arthur et al. | 1999
- 2306
-
Study of the -to- etch selectivity mechanism in the presence of polymers in fluorocarbon plasmasKu, Victor / Parks, Delbert et al. | 1999
- 2311
-
Enabling technologies for forming and contacting shallow junctions in Si: HF-vapor cleaning and selective epitaxial growth of Si and SiGeRaaijmakers, Ivo J. / Sprey, Hessel / Storm, Arjen / Bergman, Timo / Italiano, Joe / Meyer, Doug et al. | 1999
- 2321
-
Spin-on Cu films for ultralarge scale integrated metallizationMurakami, Hirohiko / Hirakawa, Masaaki / Ohtsuka, Yoshiro / Yamakawa, Hiroyuki / Imazeki, Nobuya / Hayashi, Shigeo / Suzuki, Toshihiro / Oda, Masaaki / Hayashi, Chikara et al. | 1999
- 2325
-
Effects of plasma treatment on low dielectric constant methylsilsesquioxaneChang, Ting-Chang / Liu, Po-Tsun / Mei, Yu-Jen / Mor, Yi-Shian / Perng, Tsu-Hsiu / Yang, Ya-Liang / Sze, Simon M. et al. | 1999
- 2331
-
Analysis of submicron structures by highly charged ion secondary ion mass spectroscopySchenkel, T. / Wu, K. J. / Li, H. / Newman, N. / Barnes, A. V. / McDonald, J. W. / Hamza, A. V. et al. | 1999
- 2336
-
Surface characterization of a low dielectric constant polymer, and investigation of its interface with CuRajagopal, A. / Grégoire, C. / Lemaire, J. J. / Pireaux, J. J. / Baklanov, M. R. / Vanhaelemeersch, S. / Maex, K. / Waeterloos, J. J. et al. | 1999
- 2341
-
Deposition temperature effects of high density plasma chemical vapor deposition films for subquarter micron devices applicationTsan, C. C. / Wang, Y. L. / Wu, Y. L. / Dun, Jowei / Huan, Y. S. / Feng, M. S. / Chiu, S. Y. et al. | 1999
- 2345
-
Recent advances in secondary ion mass spectrometry to characterize ultralow energy ion implantsChia, Victor K. F. / Mount, Gary R. / Edgell, Michael J. / Magee, Charles W. et al. | 1999
- 2352
-
Quality of electroplated copper films produced using different acid electrolytesSeah, C. H. / Mridha, S. / Chan, L. H. et al. | 1999
- 2357
-
Nondestructive technique for the characterization of deep traps at interlayer interfaces in thin-film multilayer semiconductor structuresGonzález-Hernández, J. / Prokhorov, E. / Gorev, N. B. / Kodzhespirova, I. F. / Kovalenko, Yu. A. et al. | 1999
- 2361
-
Critical influence of plating bath temperature on Cu damascene electrodepositsJiang, Qing-Tang / Mikkola, Robert / Carpenter, Brad et al. | 1999
- 2366
-
Characterization of organic solution deposited copper seed layers on Al(Cu) sputtered thin filmsO’Keefe, M. J. / Leedy, K. D. / Grant, J. T. / Fang, M. / Gu, H. / O’Keefe, T. J. et al. | 1999
- 2373
-
Development of self-aligned contact technology for 0.18 μm static random access memory devicesQiao, Jianmin / Jin, Bo / Phatak, Prashant / Yu, Jengyi / Geha, Sam et al. | 1999
- 2378
-
Optical endpoint detection for chemical mechanical planarizationBibby, Thomas / Adams, John A. / Holland, Karey et al. | 1999
- 2385
-
Tantalum and tantalum nitride films deposited by electron cyclotron resonance sputtering as barriers to copper diffusionOno, Toshiro / Amazawa, Takao / Nishimura, Hiroshi / Matsuo, Seitaro et al. | 1999