Fabrication of 200 nm period nanomagnet arrays using interference lithography and a negative resist (English)
- New search for: Farhoud, Maya
- New search for: Ferrera, Juan
- New search for: Lochtefeld, Anthony J.
- New search for: Murphy, T. E.
- New search for: Schattenburg, Mark L.
- New search for: Carter, J.
- New search for: Ross, C. A.
- New search for: Smith, Henry I.
- New search for: Farhoud, Maya
- New search for: Ferrera, Juan
- New search for: Lochtefeld, Anthony J.
- New search for: Murphy, T. E.
- New search for: Schattenburg, Mark L.
- New search for: Carter, J.
- New search for: Ross, C. A.
- New search for: Smith, Henry I.
In:
Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures Processing, Measurement, and Phenomena
;
17
, 6
;
3182-3185
;
1999
- Article (Journal) / Electronic Resource
-
Title:Fabrication of 200 nm period nanomagnet arrays using interference lithography and a negative resist
-
Contributors:Farhoud, Maya ( author ) / Ferrera, Juan ( author ) / Lochtefeld, Anthony J. ( author ) / Murphy, T. E. ( author ) / Schattenburg, Mark L. ( author ) / Carter, J. ( author ) / Ross, C. A. ( author ) / Smith, Henry I. ( author )
-
Published in:
-
Publisher:
- New search for: American Vacuum Society
-
Publication date:1999-11-01
-
Size:4 pages
-
ISSN:
-
DOI:
-
Type of media:Article (Journal)
-
Type of material:Electronic Resource
-
Language:English
-
Keywords:
-
Source:
Table of contents – Volume 17, Issue 6
The tables of contents are generated automatically and are based on the data records of the individual contributions available in the index of the TIB portal. The display of the Tables of Contents may therefore be incomplete.
- 2397
-
Fluorinated amorphous carbon films for low permittivity interlevel dielectricsTheil, Jeremy A. et al. | 1999
- 2411
-
Scanning tunneling microscopy of passivated Au nanocrystals immobilized on Au(111) surfacesHarrell, L. E. / Bigioni, T. P. / Cullen, W. G. / Whetten, R. L. / First, P.N. et al. | 1999
- 2417
-
Field induced local oxidation of Ti and Ti/Au structures by an atomic force microscope with diamond coated tipsVullers, R. J. M. / Ahlskog, M. / Cannaerts, M. / Van Haesendonck, C. et al. | 1999
- 2423
-
In situ electrochemical atomic force microscopy study on Au(100)/Cd interface in sulfuric acid solutionVidu, Ruxandra / Hara, Shigeta et al. | 1999
- 2431
-
Vapor-deposited gold film formation on highly oriented pyrolitic graphite. A transition from pseudo-two-dimensional branched island growth to continuous film formationBlum, B. / Salvarezza, R. C. / Arvia, A. J. et al. | 1999
- 2439
-
Surface superstructure of -bombarded highly oriented pyrolytic graphite during recrystallizationAn, B. / Fukuyama, S. / Yokogawa, K. / Yoshimura, M. et al. | 1999
- 2443
-
Minimizing damage during focused-ion-beam induced desorption of hydrogenFuhrmann, H. / Candel, A. / Döbeli, M. / Mühle, R. et al. | 1999
- 2447
-
Atomic force microscopy of the topochemical photopolymerization of diolefin crystalsZeng, Qingdao / Wang, Chen / Bai, Chunli / Li, Yan / Yan, Xinjian et al. | 1999
- 2452
-
Scratching on polystyrene thin film without bumps using atomic force microscopyIwata, Futoshi / Matsumoto, Tarou / Ogawa, Ryuhei / Sasaki, Akira et al. | 1999
- 2457
-
Near-field polarization states and optical images in transmission mode through different surface structuresWang, S. et al. | 1999
- 2462
-
Nanometric aperture arrays fabricated by wet and dry etching of silicon for near-field optical storage applicationLee, M. B. / Atoda, N. / Tsutsui, K. / Ohtsu, M. et al. | 1999
- 2467
-
Ultrahigh density data storage in an organic film with a scanning tunneling microscopeHou, S. M. / Zhao, X. Y. / Yang, C. / Xue, Z. Q. / Yang, W. J. / Chen, H. Y. et al. | 1999
- 2471
-
Fabrication and magneto-transport and SQUID measurements of submicron spin-valve structuresPark, Y. D. / Temple, D. / Jung, K. B. / Kumar, D. / Holloway, P. H. / Pearton, S. J. et al. | 1999
- 2476
-
Nanoscale elemental imaging of semiconductor materials using focused ion beam secondary ion mass spectrometryStevie, F. A. / Downey, S. W. / Brown, S. R. / Shofner, T. L. / Decker, M. A. / Dingle, T. / Christman, L. et al. | 1999
- 2483
-
Direct current and pulsed operation of contaminated liquid metal ion sourcesOlson, R. T. / Panitz, J. A. et al. | 1999
- 2488
-
Comparison of metrology methods for quantifying the line edge roughness of patterned featuresNelson, C. / Palmateer, S. C. / Forte, A. R. / Lyszczarz, T. M. et al. | 1999
- 2499
-
Focus drilling and attenuated phase shift mask for subwavelength contact window printing using positive and negative resistsPau, S. / Trimble, L. E. / Blatchford, J. W. / Watson, G. P. / Frackoviak, J. / Cirelli, R. / Nalamasu, O. et al. | 1999
- 2507
-
Electron beam lithography process for T- and -shaped gate fabrication using chemically amplified DUV resists and PMMAChen, Y. / Macintyre, D. / Thoms, S. et al. | 1999
- 2512
-
Low-energy electron-beam effects on poly(methyl methacrylate) resist filmsBermudez, V. M. et al. | 1999
- 2519
-
Application of a thin-resist process for KrF imaging to 130 nm device fabricationAzuma, Tsukasa / Chiba, Kenji / Kawamura, Daisuke / Miyoshi, Seiro / Ozaki, Tohru / Kageyama, Hiroyoshi et al. | 1999
- 2524
-
Improvement of InGaP/GaAs heterointerface quality by controlling flow conditionsFukai, Yoshino K. / Hyuga, Fumiaki / Nittono, Takumi / Watanabe, Kazuo / Sugahara, Hirohiko et al. | 1999
- 2530
-
Influence of growth temperature of InAsP/InP strained multiple quantum wells grown by metalorganic chemical vapor depositionLee, Chong-Yi / Shiao, Hung-Pin / Wu, Meng-Chyi / Chen, Chyuan-Wei et al. | 1999
- 2536
-
Quantum mechanical tunneling through a biased double-cascaded barrierMohaidat, J. M. / Bitar, Riyad N. et al. | 1999
- 2540
-
Temperature-graded InAlAs buffers applied on InGaAs/InAlAs/InP high electron mobility transistor heterostructuresArbiol, J. / Peiró, F. / Cornet, A. / Michelakis, K. / Georgakilas, A. et al. | 1999
- 2545
-
Depth-resolved electron-excited nanoscale-luminescence spectroscopy studies of defects near GaN/InGaN/GaN quantum wellsLevin, T. M. / Jessen, G. H. / Ponce, F. A. / Brillson, L. J. et al. | 1999
- 2553
-
Correlation between agglomeration of a thin film and reflow filling in a contact hole for sputtered Al filmsShingubara, S. / Kotani, H. / Sakaue, H. / Nishiyama, F. / Takahagi, T. et al. | 1999
- 2559
-
Low resistance small metal contact for high temperature applicationKim, J. S. / Kang, W. T. / Lee, W. S. / Yoo, B. Y. / Shin, Y. C. / Kim, T. H. / Lee, K. Y. / Park, Y. J. / Park, J. W. et al. | 1999
- 2565
-
Microstructure of concentric ring patterns on Ta/Si(100)Sheng, H. Y. / Fujita, D. / Dong, Z. C. / Okamoto, H. / Ohgi, T. / Nejoh, H. et al. | 1999
- 2570
-
Characterization of formation by x-ray photoelectron spectroscopyZhao, Jin / Jones, Clive M. / Poirier, Derrick M. et al. | 1999
- 2575
-
Tribological behavior of TiC/DLC multilayers prepared on Ti–6Al–4V alloy by plasma-based ion implantationJi, Hongbing / Xia, Lifang / Ma, Xinxin / Sun, Yue / Sun, Mingren et al. | 1999
- 2581
-
Novel process integration for reduction of subquarter-micron contact resistanceKu, Victor et al. | 1999
- 2584
-
Experimental and analytical study of seed layer resistance for copper damascene electroplatingBroadbent, E. K. / McInerney, E. J. / Gochberg, L. A. / Jackson, R. L. et al. | 1999
- 2596
-
Effect of material variations on performance of double-recessed gate power pseudomorphic high electron mobility transistors in monolithic microwave and millimeter wave integrated circuit applicationsHussain, T. / Chu, P. / Wen, C. P. / Circle, M. / Gomez, A. / Midford, T. / Cisco, T. et al. | 1999
- 2600
-
Direct epitaxial growth of submicron-patterned SiC structures on Si(001)Yi, Gyu-Chul / Eres, G. / Lowndes, D. H. et al. | 1999
- 2603
-
Finite-element calculations of mechanical stresses induced by water adsorption/desorption in silicate glassesHoffmann, Thomas / LeDuc, Philippe / Senez, Vincent et al. | 1999
- 2610
-
Monolayer-level controlled incorporation of nitrogen in ultrathin gate dielectrics using remote plasma processing: Formation of stacked “” gate dielectricsNiimi, H. / Lucovsky, G. et al. | 1999
- 2622
-
Buried heterostructure complex-coupled distributed feedback 1.55 μm lasers fabricated using dry etching processes and quaternary layer overgrowthSöderström, D. / Lourdudoss, S. / Carlström, C.-F. / Anand, S. / Kahn, M. / Kamp, M. et al. | 1999
- 2626
-
Control of wet-etching thickness in the vertical cavity surface emitting laser structure by in situ laser reflectometryCho, H. K. / Lee, J. Y. / Lee, B. / Baek, J. H. / Han, W. S. et al. | 1999
- 2630
-
Interferometry for end point prediction during plasma etching of various structures in complementary metal–oxide–semiconductor device fabricationLayadi, N. / Molloy, S. J. / Esry, T. C. / Lill, T. / Trevor, J. / Grimbergen, M. N. / Chinn, J. et al. | 1999
- 2638
-
Abatement of perfluorocarbons with an inductively coupled plasma reactorLiao, M. Y. / Wong, K. / McVittie, J. P. / Saraswat, K. C. et al. | 1999
- 2644
-
Selective plasma etching for contact holes using a fluorine-based chemistry with addition ofMeijer, Peter M. / Roelofs, Michel E. F. / Manders, Bart S. et al. | 1999
- 2648
-
Sheath expansion in a drifting, nonuniform plasmaKeidar, M. / Brown, I. G. et al. | 1999
- 2651
-
Anomalous scanning tunneling microscopy images of GaAs(110) surfaces due to tip-induced band bendingAloni, S. / Haase, G. et al. | 1999
- 2653
-
Polycarbonate surface modified by argon cluster ion beamsZemek, J. / Yamada, I. / Takaoka, G. / Matsuo, J. et al. | 1999
- 2656
-
Quantitative surface area evaluation of rugged polycrystalline Si plate for dynamic random access memory capacitor by xenon adsorptionYanazawa, Hiroshi / Futase, Takuya / Suzuki, Isao et al. | 1999
- 2660
-
Trimethylamine: Novel source for low damage reactive ion beam etching of InPCarlström, C. F. / Anand, S. / Landgren, G. et al. | 1999
- 2664
-
Destructive abatement of and via a plasma induced reaction with CaODelattre, James L. / Friedman, Todd L. / Stacy, Angelica M. et al. | 1999
- 2667
-
Formation of low resistance Pt ohmic contacts to p-type GaN using two-step surface treatmentJang, Ja-Soon / Park, Seong-Ju / Seong, Tae-Yeon et al. | 1999
- 2671
-
Fabrication of submicrometer regular arrays of pillars and helicesMalac, M. / Egerton, R. F. / Brett, M. J. / Dick, B. et al. | 1999
- 2675
-
Effect of Au overlayer on Ni contacts to p-type GaNKim, Jong Kyu / Lee, Jong-Lam / Lee, Jae Won / Park, Yong Jo / Kim, Taeil et al. | 1999
- 2692
-
Sub-100 nm metrology using interferometrically produced fiducialsSchattenburg, M. L. / Chen, C. / Everett, P. N. / Ferrera, J. / Konkola, P. / Smith, Henry I. et al. | 1999
- 2698
-
Novel mask-wafer gap measurement scheme with nanometer-level detectivityMoon, Euclid E. / Everett, Patrick N. / Meinhold, Mitchell W. / Mondol, Mark K. / Smith, Henry I. et al. | 1999
- 2703
-
A holographic phase-shifting interferometer technique to measure in-plane distortionLim, Michael H. / Ferrera, Juan / Pipe, K. P. / Smith, Henry I. et al. | 1999
- 2707
-
High-throughput, high-spatial-frequency measurement of critical dimension variations using memory circuits as electrical test structuresOuyang, X. / Deeter, Timothy L. / Berglund, C. N. / McCord, Mark A. / Pease, R. F. W. et al. | 1999
- 2714
-
Thin film stress mapping using an integrated sensorSchlax, M. P. / Jachim, A. F. / Engelstad, R. L. / Lovell, E. G. / Liddle, J. A. / Novembre, A. E. et al. | 1999
- 2719
-
Mechanical characterization of electron-beam resist using micromachined structuresQue, L. / Gianchandani, Y. B. / Cerrina, F. et al. | 1999
- 2723
-
Correlation of atomic force microscopy sidewall roughness measurements with scanning electron microscopy line-edge roughness measurements on chemically amplified resists exposed by x-ray lithographyReynolds, Geoffrey W. / Taylor, James W. et al. | 1999
- 2730
-
Control of localized access to circuitry through the backside using focused ion beam technologyAntoniou, Nicholas / Thompson, Mark / Salen, Jesse / Casey, David / Goruganthu, Rama R. / Ring, Rose / Birdsley, Jeff / Gilfeather, Glen et al. | 1999
- 2734
-
Fabrication of two-dimensional photonic crystal waveguides for 1.5 μm in silicon by deep anisotropic dry etchingZijlstra, T. / van der Drift, E. / de Dood, M. J. A. / Snoeks, E. / Polman, A. et al. | 1999
- 2740
-
High-aspect-ratio nanophotonic components fabricated by reactive ion beam etchingZubrzycki, W. J. / Vawter, G. A. / Wendt, J. R. et al. | 1999
- 2745
-
plasma passivation of etch induced damage in GaAs and InGaAs with an inductively coupled plasma sourceBerg, E. W. / Pang, S. W. et al. | 1999
- 2750
-
Inductively coupled plasma reactive ion etching of for application in laser facet formationKhan, F. A. / Zhou, L. / Ping, A. T. / Adesida, I. et al. | 1999
- 2755
-
reactive ion etching for gate recessing of AlGaN/GaN field-effect transistorsChen, Ching-Hui / Keller, Stacia / Haberer, Elaine D. / Zhang, Lidong / DenBaars, Steven P. / Hu, Evelyn L. / Mishra, Umesh K. / Wu, Yifeng et al. | 1999
- 2759
-
High resolution reactive ion etching of GaN and etch-induced effectsCheung, R. / Reeves, R. J. / Rong, B. / Brown, S. A. / Fakkeldij, E. J. M. / van der Drift, E. / Kamp, M. et al. | 1999
- 2764
-
NANOJET: Nanostructuring via a downstream plasmajetVoigt, J. / Reinker, B. / Rangelow, I. W. / Mariotto, G. / Shvets, I. / Guethner, P. / Löschner, H. et al. | 1999
- 2768
-
Dry etching with gas chopping without rippled sidewallsVolland, B. / Shi, F. / Hudek, P. / Heerlein, H. / Rangelow, Ivo. W. et al. | 1999
- 2772
-
Multilayer hexamethyldisiloxane film as bottom antireflective coating for ArF lithographyWang, L. A. / Chen, H. L. et al. | 1999
- 2776
-
Plasma sources for electrons and ion beamsLeung, Ka-Ngo et al. | 1999
- 2779
-
Characteristics of ion beams from a Penning source for focused ion beam applicationsGuharay, S. K. / Sokolovsky, E. / Orloff, J. et al. | 1999
- 2783
-
Maskless micro-ion-beam reduction lithographyNgo, V. V. / Barletta, W. / Gough, R. / Lee, Y. / Leung, K. N. / Zahir, N. / Patterson, D. et al. | 1999
- 2791
-
Rare earth focused ion beam implantation utilizing Er and Pr liquid alloy ion sourcesChao, L. C. / Lee, B. K. / Chi, C. J. / Cheng, J. / Chyr, I. / Steckl, A. J. et al. | 1999
- 2795
-
Variable axis lens of mixed electrostatic and magnetic fields and its application in electron-beam lithography systemsZhao, Yan / Khursheed, Anjam et al. | 1999
- 2799
-
Development of a projection imaging electron microscope with electrostatic lensesMiyoshi, M. / Yamazaki, Y. / Nagai, T. / Nagahama, I. / Okumura, K. et al. | 1999
- 2803
-
Space-charge-induced aberrationsWinograd, G. I. / Meisburger, W. D. / Pease, R. F. W. et al. | 1999
- 2808
-
Theory of Coulomb scattering in particle beams using Markov’s methodGroves, T. R. et al. | 1999
- 2814
-
Thin-film gated photocathodes for electron-beam lithographyPei, Z. / McCarthy, J. / Berglund, C. N. / Chang, T. P. H. / Mankos, M. / Lee, K. Y. / Yu, M. L. et al. | 1999
- 2819
-
Prototype negative electron affinity-based multibeam electron gun for lithography and microscopyBaum, A. / Arcuni, P. / Aebi, V. / Presley, S. / Elder, M. et al. | 1999
- 2823
-
Measuring electron-beam landing angle in real timeSturans, M. A. / Hartley, J. G. / Kendall, R. A. et al. | 1999
- 2827
-
Design and implementation of a detector for on-axis electrons for enabling enhanced imaging of topographical structuresMunack, Harry / Kögler, Walter / Baumgarten, Holger / Rübekohl, Christian / Adamec, Pavel / Degenhardt, Ralf / Feuerbaum, Hans-Peter / Winkler, Dieter et al. | 1999
- 2830
-
Field size versus column shortness in high throughput electron beam lithographyHan, L. / Pease, R. F. W. / Meisburger, W. D. / Winograd, G. I. / McCord, M. A. et al. | 1999
- 2836
-
Lateral pn-junctions as a novel electron detector for microcolumn systemsFritz, G. S. / Fresser, H. S. / Prins, F. E. / Kern, D. P. et al. | 1999
- 2840
-
Projection reduction exposure with variable axis immersion lenses: Next generation lithographyPfeiffer, H. C. / Dhaliwal, R. S. / Golladay, S. D. / Doran, S. K. / Gordon, M. S. / Groves, T. R. / Kendall, R. A. / Lieberman, J. E. / Petric, P. F. / Pinckney, D. J. et al. | 1999
- 2847
-
PREVAIL: Theory of the proof of concept column electron opticsStickel, W. / Langner, G. O. et al. | 1999
- 2851
-
PREVAIL: Operation of the electron optics proof-of-concept systemGordon, M. S. / Lieberman, J. E. / Petric, P. F. / Robinson, C. F. / Stickel, W. et al. | 1999
- 2856
-
High emittance source for the PREVAIL projection lithography systemGolladay, S. D. / Kendall, R. A. / Doran, S. K. et al. | 1999
- 2860
-
Proximity effect correction by the GHOST method using a scattering stencil maskYamashita, Hiroshi / Nomura, Eiichi / Manako, Shoko / Kobinata, Hideo / Nakajima, Ken / Nozue, Hiroshi et al. | 1999
- 2864
-
Stencil reticle development for electron beam projection systemKawata, S. / Katakura, N. / Takahashi, S. / Uchikawa, K. et al. | 1999
- 2868
-
Pattern displacement measurements for Si stencil reticlesUchikawa, K. / Takahashi, S. / Katakura, N. / Oshino, T. / Kawata, S. / Yamaguchi, T. et al. | 1999
- 2873
-
Characteristics for negative and positive tone resists with direct write electron beam and SCALPEL exposure systemsSato, Mitsuru / Ocala, L. E. / Novembre, A. E. / Ohmori, Katsumi / Ishikawa, Kiyoshi / Katsumata, Katsuhiko / Nakayama, Toshimasa et al. | 1999
- 2878
-
Mechanical and thermal modeling of the SCALPEL maskMartin, C. J. / Semke, W. H. / Dicks, G. A. / Engelstad, R. L. / Lovell, E. G. / Liddle, J. A. / Novembre, A. E. et al. | 1999
- 2883
-
Finite element analysis of SCALPEL wafer heatingKim, Byungkyu / Engelstad, Roxann L. / Lovell, Edward G. / Stanton, Stuart T. / Liddle, J. Alexander / Gallatin, Gregg M. et al. | 1999
- 2888
-
Determination of the possible magnitude of the charging effect in a SCALPEL mask membraneMkrtchyan, M. M. / Gasparyan, A. S. / Mkhoyan, K. A. / Liddle, J. A. / Novembre, A. E. et al. | 1999
- 2893
-
Charging and discharging of electron beam resist filmsBai, M. / Pease, R. F. W. / Tanasa, C. / McCord, M. A. / Pickard, D. S. / Meisburger, D. et al. | 1999
- 2897
-
Low energy electron-beam proximity projection lithography: Discovery of a missing linkUtsumi, Takao et al. | 1999
- 2903
-
New three dimensional simulator for low energy (∼1 keV) electron beam systemsLee, Yongjae / Lee, Woojin / Chun, Kukjin / Kim, Hoseob et al. | 1999
- 2907
-
EB-X3: New electron-beam x-ray mask writerMorosawa, Tetsuo / Saito, Kenichi / Takeda, Yuji / Kunioka, Tatsuya / Shimizu, Akira / Kato, Junichi / Matsuda, Tadahito / Kuriyama, Youichi / Nakayama, Yoshinori / Matsui, Yasuji et al. | 1999
- 2912
-
Electron optical system for the x-ray mask writer EB-X3Saito, Kenichi / Kato, Junichi / Shimizu, Akira / Morita, Hirofumi / Matsuda, Tadahito / Nakayama, Yoshinori et al. | 1999
- 2917
-
XY stage driven by ultrasonic linear motors for the electron-beam x-ray mask writer EB-X3Kunioka, T. / Takeda, Y. / Matsuda, T. / Shimazu, N. / Nakayama, Y. et al. | 1999
- 2921
-
Influence of the mask-scattered electrons in the cell-projection lithographyKotera, M. / Yamaguchi, K. / Okagawa, T. / Matsuoka, K. / Kojima, Y. / Yamabe, M. et al. | 1999
- 2927
-
Raster shaped beam pattern generationRishton, S. A. / Varner, J. K. / Veneklasen, L. H. / Boegli, V. / Sagle, A. L. / Hofmann,, U. / Kao, H. / Wang, W. et al. | 1999
- 2932
-
Chrome on glass mask writing at 75 kV with the IBM EL4+electron-beam systemHartley, J. G. / Groves, T. R. et al. | 1999
- 2936
-
Reduction of long range fogging effect in a high acceleration voltage electron beam mask writing systemOgasawara, Munehiro / Shimomura, Naoharu / Takamatsu, Jun / Yoshitake, Shusuke / Ooki, Kenji / Nakayamada, Noriaki / Okabe, Humiyuki / Tojo, Toru / Takigawa, Tadahiro et al. | 1999
- 2940
-
Fundamental performance of state-of-the-art proximity effect correction methodsHofmann, Uli / Crandall, Richard / Johnson, Leslie et al. | 1999
- 2945
-
Contrast limitations in electron-beam lithographyCrandall, Richard / Hofmann, Uli / Lozes, Richard L. et al. | 1999
- 2948
-
New miniaturized tunneling-based gyro for inertial measurement applicationsKubena, R. L. / Vickers-Kirby, D. J. / Joyce, R. J. / Stratton, F. P. et al. | 1999
- 2953
-
Electric-field modified atomic beam holographyFujita, Jun-ichi / Kishimoto, Tetsuo / Mitake, Satosi / Shimizu, Fujio et al. | 1999
- 2957
-
Fabrication of a new broadband waveguide polarizer with a double-layer 190 nm period metal-gratings using nanoimprint lithographyWang, Jian / Schablitsky, Steven / Yu, Zhaoning / Wu, Wei / Chou, Stephen Y. et al. | 1999
- 2961
-
Large scale nanolithography using nanoimprint lithographyHeidari, Babak / Maximov, Ivan / Sarwe, Eva-Lena / Montelius, Lars et al. | 1999
- 2965
-
Patterning curved surfaces: Template generation by ion beam proximity lithography and relief transfer by step and flash imprint lithographyRuchhoeft, P. / Colburn, M. / Choi, B. / Nounu, H. / Johnson, S. / Bailey, T. / Damle, S. / Stewart, M. / Ekerdt, J. / Sreenivasan, S. V. et al. | 1999
- 2970
-
Demonstration of pattern transfer into sub-100 nm polysilicon line/space features patterned with extreme ultraviolet lithographyCardinale, G. F. / Henderson, C. C. / Goldsmith, J. E. M. / Mangat, P. J. S. / Cobb, J. / Hector, S. D. et al. | 1999
- 2975
-
Extreme ultraviolet lithography at Carl Zeiss: Manufacturing and metrology of aspheric surfaces with angstrom accuracyHandschuh, H. / Fröschke, J. / Jülich, M. / Mayer, M. / Weiser, M. / Seitz, G. et al. | 1999
- 2978
-
EUCLIDES: European EUVL ProgramBenschop, Jos P. H. / van Dijsseldonk, Anton J. J. / Kaiser, Winfried M. / Ockwell, David C. et al. | 1999
- 2982
-
Extreme ultraviolet interferometric measurements of diffraction-limited opticsGoldberg, Kenneth A. / Naulleau, Patrick / Bokor, Jeffrey et al. | 1999
- 2987
-
Interferometric at-wavelength flare characterization of extreme ultraviolet optical systemsNaulleau, Patrick / Goldberg, Kenneth A. / Gullikson, Eric M. / Bokor, Jeffrey et al. | 1999
- 2992
-
Image formation in extreme ultraviolet lithography and numerical aperture effectsBollepalli, Srinivas B. / Khan, M. / Cerrina, Franco et al. | 1999
- 2998
-
Peak and integrated reflectivity, wavelength and gamma optimization of Mo/Si, and Mo/Be multilayer, multielement optics for extreme ultraviolet lithographyStuik, R. / Louis, E. / Yakshin, A. E. / Görts, P. C. / Maas, E. L. G. / Bijkerk, F. / Schmitz, D. / Scholze, F. / Ulm, G. / Haidl, M. et al. | 1999
- 3003
-
Technique for rapid at-wavelength inspection of extreme ultraviolet mask blanksSpector, S. J. / White, D. L. / Tennant, D. M. / Ocola, L. E. / Novembre, A. E. / Peabody, M. L. / Wood, O. R. et al. | 1999
- 3009
-
Actinic detection of sub-100 nm defects on extreme ultraviolet lithography mask blanksJeong, Seongtae / Johnson, Lewis / Rekawa, Seno / Walton, Chris C. / Prisbrey, Shon T. / Tejnil, Edita / Underwood, James H. / Bokor, Jeffrey et al. | 1999
- 3014
-
Nondestructive picosecond-ultrasonic characterization of Mo/Si extreme ultraviolet multilayer reflection coatingsPu, Nen-Wen / Bokor, Jeffrey / Jeong, Seongtae / Zhao, Ri-An et al. | 1999
- 3019
-
Extreme ultraviolet mask defect simulationPistor, Tom / Neureuther, Andrew et al. | 1999
- 3024
-
Method for compensation of extreme-ultraviolet multilayer defectsRay-Chaudhuri, A. K. / Cardinale, G. / Fisher, A. / Yan, P.-Y. / Sweeney, D. W. et al. | 1999
- 3029
-
Extreme ultraviolet lithography mask patterning and printability studies with a Ta-based absorberMangat, P. J. S. / Hector, S. D. / Thompson, M. A. / Dauksher, W. J. / Cobb, J. / Cummings, K. D. / Mancini, D. P. / Resnick, D. J. / Cardinale, G. / Henderson, C. et al. | 1999
- 3034
-
System performance modeling of extreme ultraviolet lithographic thermal issuesSpence, P. A. / Gianoulakis, S. E. / Moen, C. D. / Kanouff, M. P. / Fisher, A. / Ray-Chaudhuri, A. K. et al. | 1999
- 3039
-
Characterization of the manufacturability of ultrathin resistNguyen, Khanh B. / Lyons, Chris / Schefske, Jeff / Pike, Chris / Phan, Khoi / King, Paul / Levinson, Harry / Bell, Scott / Okoroanyanwu, Uzodinma et al. | 1999
- 3043
-
Synchrotron light as a source for extreme ultraviolet lithographyOckwell, D. C. / Crosland, N. C. E. / Kempson, V. C. et al. | 1999
- 3047
-
Maskless extreme ultraviolet lithographyChoksi, Neha / Pickard, D. S. / McCord, Mark / Pease, R. F. W. / Shroff, Yashesh / Chen, Yijian / Oldham, William / Markle, David et al. | 1999
- 3052
-
Nanolithography using extreme ultraviolet lithography interferometry: 19 nm lines and spacesSolak, H. H. / He, D. / Li, W. / Cerrina, F. et al. | 1999
- 3058
-
Gas assisted etching of copper with focused ion beamsEdinger, K. et al. | 1999
- 3063
-
Damage generation and removal in the focused ion beam micromachining of GaN for photonic applicationsChyr, Irving / Lee, Boon / Chao, L. C. / Steckl, A. J. et al. | 1999
- 3068
-
Thin oxides on passivated silicon irradiated by focused ion beamsFuhrmann, H. / Döbeli, M. / Kötz, R. / Mühle, R. / Schnyder, B. et al. | 1999
- 3072
-
Carrier profile of the Si-doped layer in GaAs fabricated by a low-energy focused ion beam/molecular beam epitaxy combined systemYanagisawa, Junichi / Goto, Takayuki / Hada, Takuo / Nakai, Masaya / Wakaya, Fujio / Yuba, Yoshihiko / Gamo, Kenji et al. | 1999
- 3075
-
Combined focused ion beam deposition system and scanning probe microscope for metal nanostructure fabrication and characterizationWoodham, R. G. / Ahmed, H. et al. | 1999
- 3080
-
Surface diagnostics of dry etched III–V semiconductor samples using focused ion beam and secondary ion mass spectrometryYu, Siyuan / Heard, Peter / Cakmak, Bulent / Penty, R. V. / White, I. H. et al. | 1999
- 3085
-
Depth control of focused ion-beam milling from a numerical model of the sputter processVasile, Michael J. / Xie, Jushan / Nassar, Raja et al. | 1999
- 3091
-
Ion projection lithography: International development programKaesmaier, Rainer / Löschner, Hans / Stengl, Gerhard / Wolfe, John C. / Ruchhoeft, Paul et al. | 1999
- 3098
-
Experimental results of the stochastic Coulomb interaction in ion projection lithographyde Jager, P. W. H. / Derksen, G. / Mertens, B. / Cekan, E. / Lammer, G. / Vonach, H. / Buschbeck, H. / Zeininger, M. / Horner, C. / Löschner, H. et al. | 1999
- 3107
-
Comparison of silicon stencil mask distortion measurements with finite element analysisEhrmann, A. / Struck, T. / Chalupka, A. / Haugeneder, E. / Löschner, H. / Butschke, J. / Irmscher, M. / Letzkus, F. / Springer, R. / Degen, A. et al. | 1999
- 3112
-
Modeling of edge roughness in ion projection lithographyHenke, Wolfgang / Torkler, Michael et al. | 1999
- 3119
-
Minimum ion-beam exposure-dose determination for chemically amplified resist from printed dot matricesBruenger, W. H. / Torkler, M. / Weiss, M. / Löschner, H. / Leung, K. / Lee, Y. / Hudek, P. / Rangelow, I. W. / Stangl, G. / Fallmann, W. et al. | 1999
- 3122
-
Comparative evaluation of electron-beam sensitive single layer top surface imaging and bilayer chemical amplification of resist lines process for stencil mask makingElian, K. / Irmscher, M. / Butschke, J. / Letzkus, F. / Reuter, C. / Springer, R. et al. | 1999
- 3127
-
Directly sputtered stress-compensated carbon protective layer for silicon stencil masksHudek, P. / Hrkút, P. / Držik, M. / Kostič, I. / Belov, M. / Torres, J. / Wasson, J. / Wolfe, J. C. / Degen, A. / Rangelow, I. W. et al. | 1999
- 3132
-
Very high-resolution focused ion beam nanolithography improvement: A new three-dimensional patterning capabilityGierak, J. / Cambril, E. / Schneider, M. / David, C. / Mailly, D. / Flicstein, J. / Schmid, G. et al. | 1999
- 3137
-
MARS: Femtosecond laser mask advanced repair system in manufacturingHaight, Richard / Hayden, Dennis / Longo, Peter / Neary, Timothy / Wagner, Alfred et al. | 1999
- 3144
-
Characteristics of chemical vapor deposition diamond films for x-ray mask substratesBrooks, Cameron J. / Powers, Lynn A. / Acosta, R. E. / Moily, Darius / Faili, Firooz / Herb, John A. et al. | 1999
- 3149
-
Highly accurate cell projection mask for applications to sub-130 nm patterningKim, Cheol-Kyun / Hur, Cheol / Kim, Young-Sik / Baik, Ki-Ho / Choi, Il-Hyun et al. | 1999
- 3154
-
Lateral growth of focused ion beam deposited platinum for stencil mask repairDeMarco, Anthony J. / Melngailis, John et al. | 1999
- 3158
-
Progress toward a 30 nm silicon metal–oxide–semiconductor gate technologyTennant, D. M. / Timp, G. L. / Ocola, L. E. / Green, M. / Sorsch, T. / Kornblit, A. / Klemens, F. / Kleiman, R. / Kim, Y. / Timp, W. et al. | 1999
- 3164
-
Lithography for sub-60 nm resist nanostructuresOcola, L. E. / Tennant, D. / Timp, G. / Novembre, A. et al. | 1999
- 3168
-
Fabrication of patterned media for high density magnetic storageRoss, C. A. / Smith, Henry I. / Savas, T. / Schattenburg, M. / Farhoud, M. / Hwang, M. / Walsh, M. / Abraham, M. C. / Ram, R. J. et al. | 1999
- 3177
-
Patterning of planar magnetic nanostructures by ion irradiationDevolder, T. / Chappert, C. / Chen, Y. / Cambril, E. / Launois, H. / Bernas, H. / Ferré, J. / Jamet, J. P. et al. | 1999
- 3182
-
Fabrication of 200 nm period nanomagnet arrays using interference lithography and a negative resistFarhoud, Maya / Ferrera, Juan / Lochtefeld, Anthony J. / Murphy, T. E. / Schattenburg, Mark L. / Carter, J. / Ross, C. A. / Smith, Henry I. et al. | 1999
- 3186
-
Development of chemically assisted dry etching methods for magnetic device structuresJung, K. B. / Cho, H. / Lee, K. P. / Marburger, J. / Sharifi, F. / Singh, R. K. / Kumar, D. / Dahmen, K. H. / Pearton, S. J. et al. | 1999
- 3190
-
Perpendicular patterned media in an substrate for magnetic storageWong, Joyce / Scherer, Axel / Barbic, Mladen / Schultz, Sheldon et al. | 1999
- 3197
-
Lithographically induced self-assembly of periodic polymer micropillar arraysChou, Stephen Y. / Zhuang, Lei et al. | 1999
- 3203
-
Patterning of self-assembled monolayers with lateral dimensions of 0.15 μm using advanced lithographyYang, Xiao M. / Peters, Richard D. / Kim, Tae K. / Nealey, Paul F. et al. | 1999
- 3208
-
Fabrication techniques for grating-based optical devicesLim, Michael H. / Murphy, T. E. / Ferrera, J. / Damask, J. N. / Smith, Henry I. et al. | 1999
- 3212
-
High aperture diffractive x-ray and extreme ultraviolet optical elements for microscopy and lithography applicationsHambach, D. / Schneider, G. et al. | 1999
- 3217
-
High perfection chirped grating phase masks by electron-beam definitionRogers, C. / Goodchild, D. / Baulcomb, R. / Butler, M. / Hoyle, P. / Kanellopoulos, S. / Clements, S. / Pugh, B. et al. | 1999
- 3222
-
Vertical cavity surface emitting lasers incorporating structured mirrors patterned by electron-beam lithographyBerseth, C.-A. / Dwir, B. / Utke, I. / Pier, H. / Rudra, A. / Iakovlev, V. P. / Kapon, E. / Moser, M. et al. | 1999
- 3226
-
Independently contacted electron–hole gas heterostructures fabricated with focused ion beam doping during molecular beam epitaxial growthVijendran, S. / Sazio, P. J. A. / Beere, H. E. / Jones, G. A. C. / Ritchie, D. A. / Norman, C. E. et al. | 1999
- 3231
-
Fabrication of tunable antidot structures with submicron airbridgesFeng, Y. / Sachrajda, A. S. / Zawadzki, P. / Kolind, S. / Buchanan, M. / Smet, J. H. / Lapointe, J. / Marshall, P. A. et al. | 1999
- 3235
-
Fabrication and electron transport in multilayer silicon-insulator-silicon nanopillarsPooley, David M. / Ahmed, Haroon / Lloyd, Neil S. et al. | 1999
- 3239
-
Patterning of silicon nanopillars formed with a colloidal gold etch maskLewis, P. A. / Ahmed, H. et al. | 1999
- 3244
-
Novel method for silicon quantum wire transistor fabricationKedzierski, Jakub / Bokor, Jeffrey / Anderson, Erik et al. | 1999
- 3248
-
Characteristics of a free-standing superconducting nanobridge with an integrated heater fabricated using a self-aligned techniqueLee, S.-B. / Hasko, D. G. / Ahmed, H. et al. | 1999
- 3252
-
Fabrication of high performance microlenses for an integrated capillary channel electrochromatograph with fluorescence detectionWendt, J. R. / Warren, M. E. / Sweatt, W. C. / Bailey, C. G. / Matzke, C. M. / Arnold, D. W. / Allerman, A. A. / Carter, T. R. / Asbill, R. E. / Samora, S. et al. | 1999
- 3256
-
Scanning probe lithography using a cantilever with integrated transistor for on-chip control of the exposing currentWilder, Kathryn / Quate, Calvin F. et al. | 1999
- 3262
-
157 nm: Deepest deep-ultraviolet yetRothschild, M. / Bloomstein, T. M. / Curtin, J. E. / Downs, D. K. / Fedynyshyn, T. H. / Hardy, D. E. / Kunz, R. R. / Liberman, V. / Sedlacek, J. H. C. / Uttaro, R. S. et al. | 1999
- 3267
-
Outlook for 157 nm resist designKunz, R. R. / Bloomstein, T. M. / Hardy, D. E. / Goodman, R. B. / Downs, D. K. / Curtin, J. E. et al. | 1999
- 3273
-
Materials issues for optical components and photomasks in 157 nm lithographyLiberman, V. / Bloomstein, T. M. / Rothschild, M. / Sedlacek, J. H. C. / Uttaro, R. S. / Bates, A. K. / Van Peski, C. / Orvek, K. et al. | 1999
- 3280
-
F-doped and -impregnated synthetic glasses for 157 nm opticsMizuguchi, Masafumi / Skuja, Linards / Hosono, Hideo / Ogawa, Tohru et al. | 1999
- 3285
-
Technique for optical characterization of exposure tool imaging performance down to 100 nmGrodnensky, I. / Suwa, K. / Farrar, N. / Johnson, E. / Pan, J. et al. | 1999
- 3291
-
Node-connection/quantum phase-shifting mask: Path to below 0.3 μm pitch, proximity effect free, random interconnects and memory patterningFukuda, Hiroshi et al. | 1999
- 3296
-
Extension of deep-ultraviolet lithography for patterning logic gates using alternating phase shifting masksKuo, Chen-Cheng / Lin, Chia-Hui / Lin, Hua-Tai / Yen, Anthony et al. | 1999
- 3301
-
Lithographic projectors with dark-field illuminationWhite, D. L. / Cirelli, R. A. / Spector, S. J. / Wood, O. R. et al. | 1999
- 3306
-
Liquid immersion deep-ultraviolet interferometric lithographyHoffnagle, J. A. / Hinsberg, W. D. / Sanchez, M. / Houle, F. A. et al. | 1999
- 3310
-
Nanofabrication with deep-ultraviolet lithography and resolution enhancementsFritze, M. / Palmateer, S. / Maki, P. / Knecht, J. / Chen, C. K. / Astolfi, D. / Cann, S. / Denault, S. / Krohn, K. / Wyatt, P.W. et al. | 1999
- 3314
-
Near-field distribution in light-coupling masks for contact lithographyPaulus, Michael / Michel, Bruno / Martin, Olivier J. F. et al. | 1999
- 3318
-
Determination of high-order lens aberration using phase/amplitude linear algebraFukuda, Hiroshi / Hayano, Katsuya / Shirai, Seiichiro et al. | 1999
- 3322
-
High contrast chemically amplified 193 nm resist for gigabit dynamic random access memory generationItani, Toshiro / Yoshino, Hiroshi / Yamana, Mitsuharu / Takimoto, Michiya / Tanabe, Hiroyoshi et al. | 1999
- 3326
-
Study of bilayer silylation process for 193 nm lithography using chemically amplified resistSatou, I. / Kuhara, K. / Endo, M. / Morimoto, H. et al. | 1999
- 3330
-
Outgassing of organic vapors from 193 nm photoresists: Impact on atmospheric purity near the lens opticsKunz, R. R. / Downs, D. K. et al. | 1999
- 3335
-
Study of resolution limits due to intrinsic bias in chemically amplified photoresistsPostnikov, Sergei V. / Stewart, Michael D. / Tran, Hoang Vi / Nierode, Mark A. / Medeiros, David R. / Cao, T. / Byers, Jeffrey / Webber, Stephen E. / Wilson, C. Grant et al. | 1999
- 3339
-
Moving boundary transport model for acid diffusion in chemically amplified resistsCroffie, Ebo / Cheng, Mosong / Neureuther, Andrew et al. | 1999
- 3345
-
Study of acid diffusion in a positive tone chemically amplified resist using an on-wafer imaging techniqueLu, Bing / Taylor, James W. / Cerrina, Franco / Soo, Choi Pheng / Bourdillon, Antony J. et al. | 1999
- 3351
-
Study of acid diffusion in resist near the glass transition temperatureFryer, David S. / Bollepali, Srinivas / de Pablo, Juan J. / Nealey, Paul F. et al. | 1999
- 3356
-
Measuring acid generation efficiency in chemically amplified resists with all three beamsSzmanda, Charles R. / Brainard, Robert L. / Mackevich, Joseph F. / Awaji, Akira / Tanaka, Tsutomu / Yamada, Yutaka / Bohland, John / Tedesco, Serge / Dal’Zotto, Bernard / Bruenger, Wilhelm et al. | 1999
- 3362
-
Relationship between the slope of the HD curve and the fundamental resist process contrastBrunner, Timothy A. et al. | 1999
- 3367
-
Simulation of roughness in chemically amplified resists using percolation theoryPatsis, G. P. / Glezos, N. / Raptis, I. / Valamontes, E. S. et al. | 1999
- 3371
-
Applications of molecular modeling in nanolithographyPawloski, A. R. / Torres, J. A. / Nealey, P. F. / de Pablo, J. J. et al. | 1999
- 3379
-
Extreme ultraviolet and x-ray resist: Comparison studyHe, D. / Solak, H. / Li, W. / Cerrina, F. et al. | 1999
- 3384
-
Comparison of the lithographic properties of positive resists upon exposure to deep- and extreme-ultraviolet radiationBrainard, Robert L. / Henderson, Craig / Cobb, Jonathan / Rao, Veena / Mackevich, Joseph F. / Okoroanyanwu, Uzodinma / Gunn, Scott / Chambers, Janet / Connolly, Susan et al. | 1999
- 3390
-
Comparison of sensitivity and exposure latitude for polymethylmethacrylate, UVIII, and calixarene using conventional dip and ultrasonically assisted developmentYasin, Shazia / Hasko, D. G. / Ahmed, H. et al. | 1999
- 3394
-
Application of 4-methyl-1-acetoxycalix[6]arene resist to complementary metal–oxide–semiconductor gate processingRooks, Michael J. / Aviram, Ari et al. | 1999
- 3398
-
Novel antireflective layer using polysilane for deep ultraviolet lithographySato, Y. / Shiobara, E. / Miyoshi, S. / Asano, M. / Matsuyama, H. / Onishi, Y. / Nakano, Y. / Hayase, S. et al. | 1999
- 3402
-
Progress in x-ray mask technology at NTTOda, Masatoshi / Shimada, Masaru / Tsuchizawa, Tai / Uchiyama, Shingo / Okada, Ikuo / Yoshihara, Hideo et al. | 1999
- 3407
-
Thermal compensation of x-ray mask distortionsFeldman, M. et al. | 1999
- 3411
-
Magnification correction by changing wafer temperature in proximity x-ray lithographyAoyama, H. / Mitsui, S. / Taguchi, T. / Tanaka, Y. / Matsui, Y. / Fukuda, M. / Suzuki, M. / Haga, T. / Morita, H. et al. | 1999
- 3415
-
Critical-dimension control for 100 nm patterns in x-ray lithographyTanaka, Y. / Iwamoto, T. / Fujii, K. / Kikuchi, Y. / Matsui, Y. / Fukuda, M. / Morita, H. et al. | 1999
- 3420
-
Direct measurement of x-ray mask sidewall roughness and its contribution to the overall sidewall roughness of chemically amplified resist featuresReynolds, Geoffrey W. / Taylor, James W. / Brooks, Cameron J. et al. | 1999
- 3426
-
Extension of x-ray lithography to 50 nm with a harder spectrumKhan, Mumit / Han, Geng / Bollepalli, Srinivas B. / Cerrina, Franco / Maldonado, Juan et al. | 1999
- 3433
-
Pattern resolution of an x-ray beamline with a wide exposure fieldKhan, Mumit / Cerrina, Franco / Toyota, Eijiro et al. | 1999
- 3439
-
X-ray multilevel zone plate fabrication by means of electron-beam lithography: Toward high-efficiency performancesDi Fabrizio, Enzo / Gentili, Massimo et al. | 1999
- 3444
-
Fabrication of three-dimensional microstructures by high resolution x-ray lithographyCuisin, C. / Chen, Y. / Decanini, D. / Chelnokov, A. / Carcenac, F. / Madouri, A. / Lourtioz, J. M. / Launois, H. et al. | 1999
- 3449
-
Maskless, parallel patterning with zone-plate array lithographyCarter, D. J. D. / Gil, Dario / Menon, Rajesh / Mondol, Mark. K. / Smith, Henry I. / Anderson, Erik H. et al. | 1999