Orogenic movement mechanism for the formation of symmetrical relief features in copper nitride thin films (English)
- New search for: Ji, A. L.
- New search for: Du, Y.
- New search for: Li, C. R.
- New search for: Wang, Y. Q.
- New search for: Cao, Z. X.
- New search for: Ji, A. L.
- New search for: Du, Y.
- New search for: Li, C. R.
- New search for: Wang, Y. Q.
- New search for: Cao, Z. X.
In:
Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures Processing, Measurement, and Phenomena
;
25
, 1
;
208-212
;
2007
- Article (Journal) / Electronic Resource
-
Title:Orogenic movement mechanism for the formation of symmetrical relief features in copper nitride thin films
-
Additional title:Orogenic movement mechanism for the formation of symmetrical relief features
-
Contributors:Ji, A. L. ( author ) / Du, Y. ( author ) / Li, C. R. ( author ) / Wang, Y. Q. ( author ) / Cao, Z. X. ( author )
-
Published in:
-
Publisher:
- New search for: American Vacuum Society
-
Publication date:2007-01-01
-
Size:5 pages
-
ISSN:
-
DOI:
-
Type of media:Article (Journal)
-
Type of material:Electronic Resource
-
Language:English
-
Keywords:
-
Source:
Table of contents – Volume 25, Issue 1
The tables of contents are generated automatically and are based on the data records of the individual contributions available in the index of the TIB portal. The display of the Tables of Contents may therefore be incomplete.
- 1
-
Characterization of the feature-size dependence in chemically assisted ion beam etching of InP-based photonic crystal devicesBerrier, A. / Mulot, M. / Anand, S. / Talneau, A. / Ferrini, R. / Houdré, R. et al. | 2007
- 11
-
Molecular beam epitaxial growth of indium antimonide and its characterizationPham, H. T. / Yoon, S. F. / Boning, D. / Wicaksono, S. et al. | 2007
- 17
-
Control of micro- and nanopatterns of octadecyltrimethoxysilane monolayers using nanoimprint lithography and atmospheric chemical vapor depositionRessier, Laurence / Martin, Christel / Viallet, Benoît / Grisolia, Jérémie / Peyrade, Jean-Pierre et al. | 2007
- 21
-
Cryogenic etch process development for profile control of high aspect-ratio submicron silicon trenchesPruessner, Marcel W. / Rabinovich, William S. / Stievater, Todd H. / Park, Doewon / Baldwin, Jeffrey W. et al. | 2007
- 29
-
Surface treatments of SiGe for scanning tunneling microscopy/spectroscopy and characterization of SiGe junctionOkui, Toshiko / Tanaka, Yuma / Shiraki, Yasuhiro et al. | 2007
- 33
-
Low-stress silicon carbonitride for the machining of high-frequency nanomechanical resonatorsFischer, L. M. / Wilding, N. / Gel, M. / Evoy, S. et al. | 2007
- 38
-
Fringe field theory and experiment for electrostatic chucking of extreme ultraviolet photomasksRaghunathan, S. / Govindaraju, L. / Ruan, J. / Hartley, J. G. et al. | 2007
- 43
-
Transport mechanisms and the effects of organic layer thickness on the performance of organic Schottky diodesHuang, Chun-Yuan / Lin, Shih-Yen / Cheng, Shiau-Shin / Chou, Shu-Ting / Yang, Chuan-Yi / Ou, Tzu-Min / Wu, Meng-Chyi / Chan, I-Min / Chan, Yi-Jen et al. | 2007
- 47
-
Simple theoretic approach to estimate the effect of gravity and thermophoresis on the diffusional nanoparticle contamination under low pressure conditionsAsbach, Christof / Fissan, Heinz / Kim, Jung Hyeun / Yook, Se-Jin / Pui, David Y. H. et al. | 2007
- 54
-
Nanoscale optical imaging of pigment particles in paint with near-field scanning optical microscopyClark, Beverly / Gurguis, Gamil / Hallen, H. D. et al. | 2007
- 58
-
Remarkably efficient acid generation in chemically amplified resist from quantum chemistry modelingGranovsky, Alex A. / Bochenkova, Anastasia V. / Suetin, Nikolay / Fryer, David / Singh, Vivek et al. | 2007
- 69
-
Electrical, photoelectrical, and luminescent properties of doped -type GaN superlatticesPolyakov, A. Y. / Smirnov, N. B. / Govorkov, A. V. / Shcherbatchev, K. D. / Bublik, V. T. / Voronova, M. I. / Dabiran, Amir M. / Osinsky, A. V. / Pearton, S. J. et al. | 2007
- 74
-
Modeling of the integrated magnetic focusing and gated field-emission device with single carbon nanotubeChen, P.-Y. / Hsu, K.-H. / Cheng, K.-W. / Hung, C.-T. / Wu, J.-S. / Cheng, T.-C. / Yu, J.-P. et al. | 2007
- 82
-
Analysis of interface trap states at Schottky diode by using equivalent circuit modelingJun, Myungsim / Jang, Moongyu / Kim, Yarkyeon / Choi, Cheljong / Kim, Taeyoub / Park, Byungchul / Lee, Seongjae et al. | 2007
- 86
-
Interface chemical characterization of novel stacksMartinez, E. / Renault, O. / Clavelier, L. / Le Royer, C. / Hartmann, J.-M. / Loup, V. / Minoret, S. / Cosnier, V. / Campidelli, Y. / Zegenhagen, J. et al. | 2007
- 91
-
Photon-beam lithography reaches half-pitch resolutionSolak, Harun H. / Ekinci, Yasin / Käser, Philipp / Park, Sunggook et al. | 2007
- 96
-
Optimization and integration of trimethylsilane-based organosilicate glass and organofluorinated silicate glass dielectric thin films for Cu damascene processCheng, Y. L. / Wang, Y. L. / Hwang, G. J. / Lee, W. H. / O’Neill, M. L. / Tang, Allen / Wu, C. L. et al. | 2007
- 102
-
Low temperature ZEP-520A development process for enhanced critical dimension realization in reactive ion etch etched polysiliconWang, H. / Laws, G. M. / Milicic, S. / Boland, P. / Handugan, A. / Pratt, M. / Eschrich, T. / Myhajlenko, S. / Allgair, J. A. / Bunday, B. et al. | 2007
- 106
-
Fabrication of high-resolution multiwall carbon nanotube field-emission cathodes at room temperaturePeng, Yitian / Hu, Yuanzhong / Wang, Hui et al. | 2007
- 109
-
Modeling of field-assisted emission from a negative electron affinity cathodeMayer, A. / Chung, M. S. / Kumar, N. / Weiss, B. L. / Miskovsky, N. M. / Cutler, P. H. et al. | 2007
- 115
-
Fabrication of nanodot array molds for photonanoimprint using anodic porous aluminaKurashima, Yuichi / Yokota, Yoshihiko / Miyamoto, Iwao / Itatani, Taro et al. | 2007
- 120
-
Simple model for dielectrophoretic alignment of gallium nitride nanowiresMotayed, Abhishek / He, Maoqi / Davydov, Albert V. / Melngailis, John / Mohammad, S. N. et al. | 2007
- 124
-
node back end of the line yield evaluation on ultrahigh density interconnect structures using electron beam direct write lithographyIcard, Beatrice / Pain, Laurent / Arnal, V. / Manakli, Serdar / Le-Denmat, Jean-Christophe / Brun, P. / Vincent, Maxime / Soonkindt, Christophe / Minghetti, Blandine / Matsumiya, Tasuku et al. | 2007
- 130
-
Real-time sensing and metrology for atomic layer deposition processes and manufacturingHenn-Lecordier, Laurent / Lei, Wei / Anderle, Mariano / Rubloff, Gary W. et al. | 2007
- 140
-
Optical properties of a multibeam column with a single-electron sourceKamimura, Osamu / Tanimoto, Sayaka / Ohta, Hiroya / Nakayama, Yoshinori / Sakakibara, Makoto / Sohda, Yasunari / Muraki, Masato / Gotoh, Susumu / Hosoda, Masaki / Someda, Yasuhiro et al. | 2007
- 147
-
Influence of oxygen diffusion on residual stress for tantalum thin filmsCheng, M. H. / Cheng, T. C. / Huang, W. J. / Chang, M. N. / Chung, M. K. et al. | 2007
- 152
-
Novel Samsung advanced resist for thermal flow process material for nano-processingChoi, Sang-Jun et al. | 2007
- 156
-
Study of plasma-induced damage of porous ultralow- dielectric films during photoresist strippingXu, Songlin / Qin, Ce / Diao, Li / Gilbert, Dave / Hou, Li / Wiesnoski, Allan / Busch, Eric / McGowan, Ricky / White, Brian / Weber, Frank et al. | 2007
- 164
-
Critical impact of mask electromagnetic effects on optical proximity corrections performance for and beyondAzpiroz, Jaione Tirapu / Rosenbluth, Alan E. / Lai, Kafai / Fonseca, Carlos / Yang, Da et al. | 2007
- 169
-
Effects of corona discharge ions on the synthesis of silver nanoparticles by a supersonic nozzle expansion methodJung, Jae Hee / Park, Hyung Ho / Kim, Sang Soo et al. | 2007
- 175
-
Influence of base additives on the reaction-diffusion front of model chemically amplified photoresistsVogt, Bryan D. / Kang, Shuhui / Prabhu, Vivek M. / Rao, Ashwin / Lin, Eric K. / Wu, Wen-li / Satija, Sushil K. / Turnquest, Karen et al. | 2007
- 183
-
Influence of starting material on analog technology fabrication yield and device component performanceMahalingam, Pushpa / Wu, Xiaoju / Knerr, Ron / Patton, Yvonne / Khan, Imran et al. | 2007
- 189
-
Ballistic recovery in III-V nanowire transistorsGilbert, M. J. / Banerjee, S. K. et al. | 2007
- 194
-
Three dimension analysis of mass separatorLi, Wen-Ping / Han, Li / Gu, Wen-Qi et al. | 2007
- 199
-
Effects of surface treatments on hexagonal InN films grown on sapphire substratesCao, L. / Xie, Z. L. / Liu, B. / Xiu, X. Q. / Zhang, R. / Zheng, Y. D. et al. | 2007
- 202
-
Advance static random access memory soft fail analysis using nanoprobing and junction delineation transmission electron microscopyChang, Wen-Tung / Hsieh, Tsung-Eong / Zimmermann, Gunnar / Wang, Lars et al. | 2007
- 208
-
Orogenic movement mechanism for the formation of symmetrical relief features in copper nitride thin filmsJi, A. L. / Du, Y. / Li, C. R. / Wang, Y. Q. / Cao, Z. X. et al. | 2007
- 213
-
contacts on homoepitaxial with surface oxygen plasma treatmentsLin, T. K. / Lam, K. T. / Chang, S. J. / Chiou, Y. Z. / Chang, S. P. et al. | 2007
- 217
-
Leakage current and charge trapping behavior in high- gate dielectric stack on substrateMahapatra, R. / Chakraborty, Amit K. / Poolamai, N. / Horsfall, A. / Chattopadhyay, S. / Wright, N. G. / Coleman, Karl S. / Coleman, P. G. / Burrows, C. P. et al. | 2007
- 224
-
Process dependence of the thermal conductivity of image reversal photoresist layersHung, Ming-Tsung / Ju, Y. Sungtaek et al. | 2007
- 229
-
Void-free low-temperature silicon direct-bonding technique using plasma activationMa, Xiaobo / Liu, Weili / Song, Zhitang / Li, Wei / Lin, Chenglu et al. | 2007
- 235
-
Local line edge roughness in microphotonic devices: An electron-beam lithography studyMa, Yuansheng / Cheng, Yang-chun / Cerrina, Franco / Barwicz, T. / Smith, H. I. et al. | 2007
- 242
-
Scanning tunneling spectroscopy of single-strand deoxyribonucleic acid for sequencingYoshida, Yuhsuke / Nojima, Yoshihiro / Tanaka, Hiroyuki / Kawai, Tomoji et al. | 2007
- 247
-
Multiple replication of three dimensional structures with undercutsMollenbeck, S. / Bogdanski, N. / Wissen, M. / Scheer, H.C. / Zajadacz, J. / Zimmer, K. et al. | 2007
- 247
-
Multiple replication of three dimensional structures with undercutsa)Möllenbeck, S. / Bogdanski, N. / Wissen, M. / Scheer, H.-C. / Zajadacz, J. / Zimmer, K. et al. | 2007
- 252
-
Vapor phase deposition of oligo(phenylene ethynylene) molecules for use in molecular electronic devicesGergel-Hackett, Nadine / Cabral, Michael J. / Pernell, Timothy L. / Harriott, Lloyd R. / Bean, John C. / Chen, Bo / Lu, Meng / Tour, James M. et al. | 2007
- 258
-
Effect of surface roughness of the neutralization grid on the energy and flux of fast neutrals and residual ions extracted from a neutral beam sourceRanjan, Alok / Helmbrecht, Clemens / Donnelly, Vincent M. / Economou, Demetre J. / Franz, Gerhard F. et al. | 2007
- 264
-
High- micromachined three-dimensional integrated inductors for high-frequency applicationsWeon, Dae-Hee / Jeon, Jong-Hyeok / Mohammadi, Saeed et al. | 2007
- 271
-
Damascene technique applied to surface acoustic wave devicesReitz, D. / Thomas, J. / Schmidt, H. / Menzel, S. / Wetzig, K. / Albert, M. / Bartha, J.W. et al. | 2007
- 271
-
Damascene technique applied to surface acoustic wave devicesa)Reitz, Daniel / Thomas, Juergen / Schmidt, Hagen / Menzel, Siegfried / Wetzig, Klaus / Albert, Matthias / Bartha, Johann W. et al. | 2007
- 277
-
Depth resolution studies in SiGe delta-doped multilayers using ultralow-energy secondary ion mass spectrometryChanbasha, A. R. / Wee, A. T. S. et al. | 2007
- 286
-
Self-aligned microtriode for plasma generation at atmospheric pressureHan, S. H. / Kim, Y. M. et al. | 2007
- 289
-
Mesa structures of GaAs fabricated by nanoparticle mask under gas-cluster ion-beam irradiationYamada, Susumu / Nagano, Masahiro / Houzumi, Shingo / Toyoda, Noriaki / Yamada, Isao et al. | 2007
- 292
-
Erratum: “Microfabrication of ultrahigh density wafer-level thin film compliant interconnects for through-silicon-via based chip stacks” [Arunasalam, Parthiban / Ackler, Harold D. / Sammakia, Bahgat G. et al. | 2007
- L1
-
Metal contact formation by microdeposition of nondestructive particles from focused ion beam sputteringShiue, J. / Kuo, P. C. / Wang, Y. L. et al. | 2007
- L5
-
Negative resist behavior of neutral sodium atoms deposited on self-assembled monolayersJu, Sanghyun / Mills, A. K. / Hang, Qingling / Elliott, D. S. / Janes, D. B. et al. | 2007