Sensing characteristics of a novel MISiC Schottky-diode hydrogen sensor with HfO2 as gate insulator (English)
- New search for: Tang, W.M.
- New search for: Leung, C.H.
- New search for: Lai, P.T.
- New search for: Tang, W.M.
- New search for: Leung, C.H.
- New search for: Lai, P.T.
In:
2007 International Semiconductor Device Research Symposium
;
1-2
;
2007
- Conference paper / Electronic Resource
-
Title:Sensing characteristics of a novel MISiC Schottky-diode hydrogen sensor with HfO2 as gate insulator
-
Contributors:
-
Published in:
-
Publisher:
- New search for: IEEE
-
Publication date:2007-12-01
-
Size:238341 byte
-
ISBN:
-
DOI:
-
Type of media:Conference paper
-
Type of material:Electronic Resource
-
Language:English
-
Source:
Table of contents conference proceedings
The tables of contents are generated automatically and are based on the data records of the individual contributions available in the index of the TIB portal. The display of the Tables of Contents may therefore be incomplete.
- 1
-
A comparison of 63 MeV proton and 10 keV X-ray radiation effects in 4H-SiC depletion-mode vertical trench JFETsJun, Bongim / Merrett, Neil / Phillips, Stan / Sutton, Akil K. / Cressler, John D. / Williams, John / Ahyi, Claude / Marshall, Paul W. et al. | 2007
- 1
-
Effects of Gate-Edge Metamorphoses (GEM) on device characteristics of scaled MOSFETsTatsuya Yamada, / Nobuyuki Sano, et al. | 2007
- 1
-
Fluorescence enhancement by surface gratingsDavis, Christopher C. / Yu-Ju Hung, / Ehren Hwang, / Smolyaninov, Igor I. et al. | 2007
- 1
-
Modeling and characterization of soft breakdown phenomena in MOS devices with ultrathin high-κ gate dielectricFarhan Shahil, K. M. / Arafat, Nayeem / Khosru, Q.D.M. / Rezwan Khan, M. et al. | 2007
- 1
-
Charging effect in germanium nanocrystals embedded in a SiO2 matrixLiu, Y. / Chen, T. P. / Yang, M. / Gui, Dong / Ding, L. / Wong, J. I. / Liu, Z. et al. | 2007
- 1
-
GaN MESFET growth on vicinal sapphire by MOVPEShoou-Jinn Chang, / Chieh-Chih Huang, / Jia-Cheng Lin, / Sheng-Po Chang, / Yi-Cheng Cheng, / Wen-Jen Lin, et al. | 2007
- 1
-
Pseudo quantum dot behavior due to excitonic transitions in wide gap quantum wire lasers: InGaN-AlGaN and ZnCdSe-ZnMgSSe material systemsWenli Huang, / Jain, Faquir C. et al. | 2007
- 1
-
Maximum number of longitudinal modes oscillating in a quantum dot laser due to spatial hole burningLi Jiang, / Asryan, Levon V. et al. | 2007
- 1
-
Status of 1200V 4H-SiC Power DMOSFETsHull, Brett A. / Das, Mrinal K. / Sei-Hyung Ryu, / Haney, Sarah K. / Jonas, Charlotte / Capell, Craig / Hall, Len / Richmond, Jim / Callanan, Robert / Husna, Fatima et al. | 2007
- 1
-
Electromechanical response of silicon nanowires: Bandgap and effective massDaryoush Shiri, / Yifan Kong, / Andrei Buin, / Anantram, M. P. et al. | 2007
- 1
-
Physics-based modeling of output conductance in nanoscale bulk MOSFET by analytically solving 2D poissonWeidemann, Michaela / Kloes, Alexander / Iniguez, Benjamin et al. | 2007
- 1
-
Modeling and analysis of intrinsic gate capacitance for carbon nanotube array based devices considering variation in screening effect and diameterKshirsagar, Chaitanya / Banerjee, Kaustav et al. | 2007
- 1
-
Gate I-V characteristics degradation in AlGaN/AlN/GaN HEMTsLingjia Li, / Skowronski, Marek et al. | 2007
- 1
-
Silicon nanowire fabrication using novel hydrogenation-assisted deep reactive ion etchingAmir Sammak, / Soheil Azimi, / Shams Mohajerzadeh, / Bahar Khadem-Hosseini, / Babak Fallah-Azad, et al. | 2007
- 1
-
Influence of the film microstructure on the electronic properties and flicker noise in organic thin film transistorsJurchescu, Oana D. / Hamadani, Behrang H. / Hao Xiong, / Park, Sungkyu K. / Subramanian, Sankar / Zimmerman, Neil M. / Anthony, John / Jackson, Thomas N. / Gundlach, David J. et al. | 2007
- 1
-
Epitaxially grown graphene field-effect transistors with electron mobility exceeding 1500 cm2/Vs and hole mobility exceeding 3400 cm2/VsYanqing Wu, / Ye, Peide D. / Capano, Michael A. / Tian Shen, / Yi Xuan, / Yang Sui, / Minghao Qi, / Cooper, James A. et al. | 2007
- 1
-
InAs growth on submicron (100) SOI islands for InAs-Si composite channel MOSFETsBin Wu, / Wheeler, Dana / Changhyun Yi, / Inho Yoon, / Smita Jha, / Brown, April / Kuech, Thomas / Fay, Patrick / Seabaugh, Alan et al. | 2007
- 1
-
Silicon nano-wire impact ionization transistors with multiple-gates for enhanced gate control and performanceEng-Huat Toh, / Grace Huiqi Wang, / Chen Shen, / Ming Zhu, / Lap Chan, / Chun-Huat Heng, / Ganesh Samudra, / Yee-Chia Yeo, et al. | 2007
- 1
-
An analytic, compact model of threshold voltage variations for SONOS memory cells due to lateral migrationChun-Hsing Shih, / Ji-Ting Liang, et al. | 2007
- 1
-
Physics-based numerical simulation for design of high-voltage, extremely-high current density SiC power devicesHillkirk, Leonardo M. / Hefner, Allen R. / Dutton, Robert W. et al. | 2007
- 1
-
Towards a smart adaptive feedback circuit for microsensorsXiao Zhu Fan, / Siwak, Nathan / Ghodssi, Reza et al. | 2007
- 1
-
The operation of a-Si:H TFTs flexible electronics on plastic substrateLee, M. H. / Chang, S. T. / Liu, Y.-T. / Huang, C.-F. / Ho, K.-Y. / Chen, P.-C. / Syu, R.-S. / Shen, K.-W. et al. | 2007
- 1
-
Development of learning modules for semiconductor and device coursesTriplett, Gregory E. / Jonassen, David et al. | 2007
- 1
-
Dual band ultraviolet AlGaN photodetectors for space applicationsShahid Aslam, / Franz, Dave / Stahle, Carl / Miko, Laddawan / Pugel, Diane / Jianping Zhang, / Gaska, Remis et al. | 2007
- 1
-
K-12 teachers forum on microelectronics and nanotechnologySchulte, Thomas / Lewis, Elaine / Jackson, Michael / Kurinec, Santosh et al. | 2007
- 1
-
Spatially resolving the degradation of SPC thin-film transistors under AC stressKai-Hsiang Chang, / Ming-Hsien Lee, / Homg-Chip Lin, / Tiao-Yuan Huang, / Yao-Jen Lee, et al. | 2007
- 1
-
N+shallow junction formation using plasma doping and rapid thermal annealingSeong Ho Kong, / Ho Jung, / Jeong Eun Kim, / Seung Woo Do, / Jae Geun Oh, / Sun Hwan Hwang, / Jin Gu Lee, / Ja Choon Ku, / Jong-Ho Lee, / Yong Hyun Lee, et al. | 2007
- 1
-
40 V high voltage arbitrary waveform pulse generator at automatic parametric testerYang Pan, / Yu, James / Kim, Jay / Griffiths, Peter et al. | 2007
- 1
-
An experimental method allowing quantifying and localizing failed cells of an EEPROM CAST after a retention test.Le Roux, C. / Lopez, L. / Firiti, A. / Ogier, J.L. / Lalande, F. / Laffont, R. / Micolau, G. et al. | 2007
- 1
-
Optical and electrical properties of Al/ZnO-nanocomposite/Si n-p diodesAli, Hasina / Iliadis, Agis / Martinez-Miranda, Luz / Saeed Esmaili Sardari, / Unchul Lee, et al. | 2007
- 1
-
Impacts of a buffer layer and hi-wafers on the performance of strained-channel NMOSFETs with SiN capping layerTzu-I Tsai, / Yao-Jen Lee, / King-Sheng Chen, / Jeff Wang, / Chia-Chen Wan, / Fu-Kuo Hsueh, / Horng-Chih Lin, / Tien-Sheng Chao, / Tiao-Yuan Huang, et al. | 2007
- 1
-
Digitally addressable vertically aligned carbon nanofibers for implementation of massively parallel maskless lithographyEliza, S. A. / Islam, S. K. / Rahman, T. / Vijayaraghavan, R. / Grundman, T. / Blalock, B. / Randolph, S. J. / Baylor, L. R. / Bigelow, T. S. / Gardner, W. L. et al. | 2007
- 1
-
A bio-inspired image processor for edge detection with single-electron circuitsKikombo, Andrew Kilinga / Schmid, Alexandre / Asai, Tetsuya / Leblebici, Yusuf / Amemiya, Yoshihito et al. | 2007
- 1
-
Bulk GaN-Based Schottky rectifier and UV photodetectorPark, M. / Zhou, Y. / Ahyi, C. / Wang, D. / Tin, C. C. / Williams, J. / Williams, N. M. / Hanser, A. D. / Preble, E. A. / Evans, K. et al. | 2007
- 1
-
Advanced semiconductor on insulator substrates for LP and HP digital CMOS applicationsNguyen, Bich-Yen / Celler, George / Cayrefourcq, Ian / Patruno, Paul / Mazure, Carlos et al. | 2007
- 1
-
Enhancement of hole mobility due to confinement in small diameter [110] silicon nanowiresBuin, A.K. / Verma, A. / Anantram, M.P. et al. | 2007
- 1
-
Challenges and opportunities of emerging nanotechnology for VLSI nanoelectronicsChau, Robert et al. | 2007
- 1
-
The coming revolution in RF electronicsRosker, Mark et al. | 2007
- 1
-
Applications of BioMEMS in Cell-Related Research| 2007
- 1
-
Impact of high-κ dielectric and metal nanoparticles in simultaneous enhancement of programming speed and retention time of nano-flash memoryPavel, Akeed A. / khan, Mehjabeen A. / Phumin Kirawanich, / Islam, Naz et al. | 2007
- 1
-
Characterization of scaled MANOS nonvolatile semiconductor memory (NVSM) devicesGan Wang, / Eichenlaub, Nathan / Yanli Zhang, / White, Marvin H. et al. | 2007
- 1
-
High-speed thermal measurements of high-power diode arraysRada, Nicholas / Triplett, Gregory / Graham, Samuel et al. | 2007
- 1
-
Magnifying superlens based on plasmonic metamaterialsSmolyaninov, Igor I. / Yu-Ju Hung, / Davis, Christopher C. et al. | 2007
- 1
-
A carbon nanotube capacitor structureWood, J.D. / Budnik, M.M. et al. | 2007
- 1
-
The semiconductor-dielectric interface from PN junction edge and the voltage dependence of leakage reverse currentObreja, Vasile V. N. et al. | 2007
- 1
-
In situ gas phase infrared absorption measurements during hafnium oxide atomic layer depositionMaslar, J.E. / Hurst, W.S. / Burgess, D.R. / Kimes, W.A. / Nguyen, N.V. / Moore, E.F. et al. | 2007
- 1
-
Quantum mechanical study of gate leakage current in double gate MOS structuresAhmed, S. / Alam, M. K. / Alam, A. / Rabbani, M. G. / Khosru, Q. D. M. et al. | 2007
- 1
-
Large leakage current reduction of silicon oxide and high-K oxides using the phonon-energy-coupling enhancement effectZhi Chen, / Pangleen Ong, / Samantaray, Chandan B. et al. | 2007
- 1
-
High resistivity material for mitigating linear energy transfer sensitivities in highly scaled Cmos Sram cellsKanyogoro, Esau / Peckerar, Martin / Hughes, Harold / Liu, Mike et al. | 2007
- 1
-
A compact model for fully overlapped LDD FD SOI MOSFETsGuohe Zhang, / Zhibiao Shao, / Kai Zhou, et al. | 2007
- 1
-
Wire drawing tool for IC and MEMS CAD optimized for creating and editing wires on multiples of 45 degreesDoering, Roger W. / Arnaiz, C. I. / Nakagawa, M. et al. | 2007
- 1
-
A physically designed 2.2 GHz OOK receiver for minimum power wireless sensor network applicationsYang, Bo / Salter, Thomas S. / Goldsman, Neil et al. | 2007
- 1
-
Improvement of Charge Programming and Retention by NH3 Plasma Treatment on Tunnel Oxide for SiO2/SixGe1-x/SiO2 Tri-layer Memory DevicesKung Ming Fan, / Chao Sung Lai, / Yu Ching Fang, / Chi Fong Ai, / Chen, C. R. et al. | 2007
- 1
-
Comparisons on performance improvement by nitride capping layer among different channel directions nMOSFETsTzu-I Tsai, / Yao-Jen Lee, / King-Sheng Chen, / Jeff Wang, / Fu-Kuo Hsueh, / Horng-Chih Lin, / Tiao-Yuan Huang, et al. | 2007
- 1
-
Exploring the design space of rugged seven lithographic level silicon carbide vertical JFETs for the development of 1200-V, 50-A devicesVeliadis, V. / McCoy, M. / Stewart, E. / McNutt, T. / Van Campen, S. / Potyraj, P. / Scozzie, C. et al. | 2007
- 1
-
Strain-induced anisotropy of electromigration in copper interconnectde Orio, Roberto Lacerda / Ceric, Hajdin / Selberherr, Siegfried et al. | 2007
- 1
-
Transport in ultra-thin-body SOI and silicon nanowire MOSFETsToshiro Hiramoto, / Gen Tsutsui, / Ken Shimizu, / Masaharu Kobayashi, et al. | 2007
- 1
-
Influence of Shockley stacking fault propagation and contraction on electrical behavior of 4H-SiC pin diodes and DMOSFETsCaldwell, Joshua D. / Stahlbush, Robert E. / Glembocki, Orest J. / Hobart, Karl D. / Imhoff, Eugene A. / Tadjer, Marko J. / Liu, Kendrick X. et al. | 2007
- 1
-
Design and optimization of the SOI field effect diode (FED)Yang Yang, / Salman, Akram A. / Ioannou, Dimitris E. / Beebe, Stephen G. et al. | 2007
- 1
-
Toward smart micromachines with integrated feedback controlMustafa Ilker Beyaz, / Nima Ghalichechian, / Reza Ghodssi, et al. | 2007
- 1
-
Non-equilibrium operation of arsenic diffused long-wavelength infrared HgCdTe photodiodesWijewarnasuriya, Priyalal S. / Dhar, Nibir K. et al. | 2007
- 1
-
A type-II near-infrared detector with very high stable gain and low noise at room temperatureGokalp Memis, Omer / Wei Wu, / Dey, Dibyendu / Katsnelson, Alex / Mohseni, Hooman et al. | 2007
- 1
-
Particle-In-cell simulation of resonant-cavity-enhanced extraordinary transmission through sub-wavelength plasmonic structureJohnson, Luke / Xi Shao, / Papadopoulos, K. et al. | 2007
- 1
-
A non-linear TCAD large signal model to enhance the linearity of transistorKashif, A. / Svensson, C. / Azam, S. / Wahab, Q. et al. | 2007
- 1
-
Characteristic temperature of a tunneling-injection quantum dot laserHan, Dae-Seob / Asryan, Levon V. et al. | 2007
- 1
-
Characterization of the low temperature activated P+/N junction formed by implant into silicide methodKow-Ming Chang, / Jian-Hong Lin, / Chih-Hsiang Yang, et al. | 2007
- 1
-
New bi-directional T-shaped triple gate n-type poly-si TFT by a low-temperature SLS-process for reducing kink effectsSung-Hwan Choi, / Hee-Sun Shin, / Min-Koo Han, et al. | 2007
- 1
-
Using SiGe technology in extreme environmentsCressler, John D. et al. | 2007
- 1
-
SiGe HBT compact modeling for extreme temperaturesWoods, Beth O. / Mantooth, H. Alan / Cressler, John D. et al. | 2007
- 1
-
Controlled localized heating on integrated circuits for cold-ambient temperature applicationsDilli, Zeynep / Akturk, Akin / Goldsman, Neil et al. | 2007
- 1
-
A novel capacitor-less 2-T SOI DRAM cellGuohe Zhang, / Zhibiao Shao, / Zhigang Hu, et al. | 2007
- 1
-
A quantum mechanical model of gate leakage current for scaled NMOS transistors with ultra-thin High-K dielectrics and metal gate electrodesYanli Zhang, / Zhian Jin, / Gan Wang, / Liyanage, Luckshitha S. / White, Marvin H. et al. | 2007
- 1
-
Write/erase speed modeling of scaled SONOS and TANOS nonvolatile semiconductor memory (NVSM) devicesWang, Gan / Eichenlaub, Nathan / Jin, Zhian / Zhang, Yanli / White, Marvin H. et al. | 2007
- 1
-
New EEPROM concept for single bit operationRaguet, J.R. / Bidal, V. / Regnier, A. / Mirabel, J.M. / Laffont, R. / Bouchakour, R. et al. | 2007
- 1
-
Engineered surfaces of multifunctional and molecular diamond for biosensingGupta, Sanju et al. | 2007
- 1
-
Photodetector with uniform response in 620 nm to 870 nm rangeShendye, A. / Pillai, A. / Mil'shtein, S. et al. | 2007
- 1
-
Redesign and optimization of semiconductor devicesAndrei, Petru / Oniciuc, Liviu et al. | 2007
- 1
-
Non-isothermal circuit for SOI MOSFETs for electrothermal simulation of SOI integrated circuitsMing-C. Cheng, / Kun Zhang, et al. | 2007
- 1
-
Deposition of nanocrystalline silicon thin film without substrate heating for flexible electronicsSang-Myeon Han, / Sun-Jae Kim, / Joong-Hyun Park, / Seung-Hee Kuk, / Min-Koo Han, et al. | 2007
- 1
-
Numerical simulations of carrier transport in pillar structured solid state thermal neutron detectorConway, Adam M. / Nikolic, Rebecca J. / Wang, Tzu F. et al. | 2007
- 1
-
Improved RF power harvesting circuit designSalter, Thomas S. / Metze, George / Goldsman, Neil et al. | 2007
- 1
-
Design and implementation of a device network application for distributed line-crossing recognitionChung-Ching Shen, / Kupershtok, Roni / Bhattacharyya, Shuvra S. / Goldsman, Neil et al. | 2007
- 1
-
Normally-off AlGaN/GaN HEMTs with InGaN cap layer: A theoretical studyVitanov, S. / Palankovski, V. et al. | 2007
- 1
-
Design and characterization of a gain-enhanced floating gate-body tied photodetector in Silicon on Sapphire CMOSMarwick, Miriam Adlerstein / Andreou, Andreas G. et al. | 2007
- 1
-
Impact of solid phase epitaxial regrowth on device performance for non-diffusive flash-annealed 45nm SOI-MOSFETsIllgen, R. / Feudel, T. / Herrmann, T. / Flachowsky, S. / Horstmann, M. / Herrmann, L. / Hauptmann, N.-W. / Klix, W. / Stenzel, R. et al. | 2007
- 1
-
Electrical and thermal transport in metallic single-wall carbon nanotubesPop, Eric et al. | 2007
- 1
-
Closed-form physics-based models for threshold voltage and subthreshold slope in FinFETs including 3D effectsKloes, A. / Weidemann, M. / Goebel, D. / Bosworth, B. T. et al. | 2007
- 1
-
0.18 μm double-recessed III-nitride metal-oxide double heterostructure field-effect transistorsVinod Adivarahan, / Mikhail Gaevski, / Naveen Tipirneni, / Bin Zhang, / Yanqing Deng, / Zijiang Yang, / Asif Khan, et al. | 2007
- 1
-
Ballisticity of the linear response transport in nanometric silicon devicesJungemann, Christoph et al. | 2007
- 1
-
Low frequency noise measurement of three-axis surface micro- machined silicon capacitive accelerometerMohd-Yasin, F. / Nagel, D.J. / Korman, C.E. / Ong, D.S. / Chuah, H.T. et al. | 2007
- 1
-
Smart-power device model coupling compact, distributed and logic level descriptionCastellazzi, Alberto / Ciappa, Mauro et al. | 2007
- 1
-
Technical challenges in commercial SiC power MOSFETsAgarwal, Anant et al. | 2007
- 1
-
Dynamic C-V and G-V characteristics of metal-insulator-semiconductor capacitor with Au nanocrystals and high-K tunneling layerChiang, K. H. / Wu, H. C. / Chen, P. S. / Kuan, C. H. / Tsai, C. S. et al. | 2007
- 1
-
Top-gated field effect transistors fabricated using thermally-oxidized silicon nanowires synthesized by vapor-liquid solid growthTsung-ta Ho, / Yanfeng Wang, / Bangzhi Liu, / Eichfeld, Sarah / Kok-Keong Lew, / Mohney, Suzanne / Redwing, Joan / Mayer, Theresa et al. | 2007
- 1
-
The US display consortium program on flexible, printed, and organic electronicsHartney, Mark A. et al. | 2007
- 1
-
Gate-Controlled Photodetector in PIN technology for distance measurementsNemecek, A. / Zimmermann, H. et al. | 2007
- 1
-
Characterization of latch-up in CMOS inverters in pulsed electromagnetic interference environmentsKyechong Kim, / Iliadis, Agis A. et al. | 2007
- 1
-
Characterization of complex-coupled multi-quantum well DFB laser diode with embedded absorptive Bragg grating layerJae-Ho Han, / Zail Lhee, / Sung-Woong Park, et al. | 2007
- 1
-
High-temperature CW mid-infrared interband cascade lasersBewley, W. W. / Canedy, C. L. / Kim, C. S. / Kim, M. / Lindle, J. R. / Larrabee, D. C. / Nolde, J. A. / Vurgaftman, I. / Meyer, J. R. et al. | 2007
- 1
-
Impact of local poly-Si gate depletion on Vth variation in nanoscale MOSFETs investigated by 3D device simulationPutra, A. T. / Nishida, A. / Kamohara, S. / Tsunomura, T. / Hiramoto, T. et al. | 2007
- 1
-
Impact of laterally asymmetric channel and gate stack architecture on device performance of surrounding gate MOSFET (LACGAS SGT): A simulation studyHarsupreet Kaur, / Sneha Kabra, / Subhasis Haldar, / Gupta, R. S. et al. | 2007
- 1
-
Fin width variation effects on program disturbance characteristics in a NAND type bulk fin SONOS flash memoryIl Hwan Cho, / Il Han Park, / Jong-Ho Lee, / Hyungcheol Shin, / Byung-Gook Park, / Jong Duk Lee, et al. | 2007
- 1
-
An alternate approach of modeling the direct tunneling (DT) current through multi-gate stacks in high-κ DevicesZhian Jin, / Yanli Zhang, / Gan Wang, / White, Marvin H. et al. | 2007
- 1
-
A comparative study of Mos memory structures that contain platinum or gold nanoparticlesSargentis, Ch. / Giannakopoulos, K. / Travlos, A. / Tsamakis, D. et al. | 2007
- 1
-
The role of the temperature boundary conditions on the gate electrode on the heat distribution in 25 nm FD-SOI MOSFETs with SiO2 and gate-stack (High-K Dielectric) as the gate oxideRaleva, Katerina / Vasileska, Dragica / Goodnick, Stephen M. et al. | 2007
- 1
-
Using device characteristics to obtain a low-power temperature-insensitive oscillator for smart dust networksYiming Zhai, / Bo Yang, / Salter, Thomas / Goldsman, Neil / Abshire, Pamela A. et al. | 2007
- 1
-
AlGaN/GaN HEMT without Schottky contact on the dry-etched region for high breakdown voltageYoung-Hwan Choi, / Jiyong Lim, / In-Hwan Ji, / Kyu-Heon Cho, / Young-Shil Kim, / Min-Koo Han, et al. | 2007
- 1
-
Characteristics and thermal stability of MOS devices with metal gate stacks of MoN and TiNChong-Hao Fu, / Kuei-Shu Chang-Liao, / Po-Yen Chien, et al. | 2007
- 1
-
Modeling the thermal behavior of chalcogenide based phase change memory cellDevasia, Archana / Kurinec, Santosh et al. | 2007
- 1
-
A nonparabolicity model compared to tight-binding: The case of square silicon quantum wiresEsposito, Aniello / Luisier, Mathieu / Frey, Martin / Schenk, Andreas et al. | 2007
- 1
-
Current collapse and reliability mechanisms in GaN HEMTsKoudymov, Alexei N. / Shur, Michael S. / Simin, Grigory S. et al. | 2007
- 1
-
Germanium profile, graduality and base doping level influences in the performance of SiGe HBTGarcia, Eloy Ramirez / Zerounian, Nicolas / Aniel, Frederic / Enciso Aguilar, Mauro A. / Barbalat, Benoit / Chevalier, Pascal / Chantre, Alain et al. | 2007
- 1
-
Time dependence of bias-stress induced threshold-voltage instability measurementsLelis, A.J. / Habersat, D. / Green, R. / Ogunniyi, A. / Gurfinkel, M. / Suehle, J. / Goldsman, N. et al. | 2007
- 1
-
A 2D-non-parabolic six moments modelVasicek, M. / Cervenka, J. / Wagner, M. / Karner, M. / Grasser, T. et al. | 2007
- 1
-
Selective doping and optimization of InGaN channel and InGaN backbarrier in deep submicron GaN heterojunction field effect transistor with a recessed gateYanqing Deng, / Vinod Adivarahan, / Asif Khan, et al. | 2007
- 1
-
Etch rates for Si-face 4H-SiC using H2 and a C3H8 partial pressureVanMil, Brenda L. / Kok-Keong Lew, / Myers-Ward, Rachael L. / Holm, Ronald T. / Gaskill, D. Kurt / Eddy,, Charles R. et al. | 2007
- 1
-
Plasma waves in graphene-based heterostructures and their terahertz device applicationsRyzhii, Victor / Satou, Akira / Ryzhii, Maxim / Vasko, Fedir / Taiichi Otsuji, et al. | 2007
- 1
-
Fabrication and characterization of suspended carbon nanotubesVinod Kumar Sangwan, / Ballarotto, Vincent W. / Fuhrer, Michael S. / Williams, Ellen D. et al. | 2007
- 1
-
Simultaneous two-color Infrared detectors based on MBE-grown HgCdTe heterostructuresVelicu, S. / Grein, C.H. / Emelie, P. / Lee, T.S. / Dhar, N.K. et al. | 2007
- 1
-
Strained Si n-FET featuring compliant SiGe Stress Transfer Layer (STL) and Si0.98C0.02 source/drain stressors for performance enhancementGrace Huiqi Wanga, / Eng-Huat Toh, / Weeks, Doran / Landin, Trevan / Spear, Jennifer / Chih Hang Tung, / Thomas, Shawn G. / Ganesh Samudra, / Yee-Chia Yeo, et al. | 2007
- 1
-
Thermal and humidity stability of Ge3N4 thin layers fabricated by high-density plasma nitridationKatsuhiro Kutsuki, / Gaku Okamoto, / Takuji Hosoi, / Akitaka Yoshigoe, / Yuden Teraoka, / Takayoshi Shimura, / Heiji Watanabe, et al. | 2007
- 1
-
A high-k Y2TiO5 charge trapping layer for high-density flash memory applicationTung-Ming Pan, / Wen-Wei Yeh, / Wei-Tsung Chang, / Kai-Ming Chen, / Jing-Wei Chen, / Kuo-Chan Huang, et al. | 2007
- 1
-
Re-configurable all-optical devices based on electrically controlled cross-polarized wave conversionQasymeh, Montasir / Cada, Michael et al. | 2007
- 1
-
Enhanced performance in strained n-FET with double-recessed Si:C source/drain and lattice-mismatched SiGe strain-transfer structure (STS)Kah-Wee Ang, / Hoong-Shing Wong, / Balasubramanian, N. / Samudra, Ganesh / Yee-Chia Yeo, et al. | 2007
- 1
-
Rapid melt growth of Ge tunnel junctions for interband tunnel transistorsQin Zhang, / Sutar, Surajit / Kosel, Thomas / Seabaugh, Alan et al. | 2007
- 1
-
Fabrication of SnO2/CNTs formaldehyde gas sensorJing Wang, / Song-Ying Cong, / Zhen-An Tang, / Bao-Fu Quan, et al. | 2007
- 1
-
An enhanced model for circuit simulation of polymer photodiodes and solar cellsSams, Michael / Lackner, Christoph / Ostermann, Timm et al. | 2007
- 1
-
Solution process ZnO and pentacene bilayer transistor: ambipolar, p-channel and n-channel operationBhola Nath Pal, / Katz, Howard E et al. | 2007
- 1
-
Design of an organic TFT pixel electrode circuit with enhanced current programming method for active-matrix OLED displaysAram Shin, / Jong Chan Choi, / Man Young Sung, et al. | 2007
- 1
-
Vertical integration using transfer printingTunnell, A. J. / Ballarotto, V. W. / Hines, D. R. / Williams, E. D. et al. | 2007
- 1
-
Optimization of PIN photodiodes parameters for enhanced proton radiation tolerance based on numerical simulationsCappelletti, M. A. / Cedola, A. P. / Peltzer y Blanca, E. L. et al. | 2007
- 1
-
Integrating TiN only bottom plate metal-insulator metal capacitor (MIMC) for contamination free manufacturingGreenwood, B. B. / Prasad, Jagdish et al. | 2007
- 1
-
Impact of width effect on performance enhancement in NMOSFETs with silicon-carbon alloy stressor and stress CESLWei-Ching Wang, / Shin-Jiun Kuang, / Shu-Tong Chang, / Huang, Jacky / Huang, C.-F. et al. | 2007
- 1
-
A new ultra-fast charge pumping measurement technique for NIT characterization without relaxationHabersat, D.B. / Gurfinkel, M. / Horst, J. / Kim, J. / Xiong, H.D. / Cheung, K. / Suehle, J.S. / Lelis, A.J. / Bernstein, J.B. / Shapira, Y. et al. | 2007
- 1
-
Evaluation of 4H-SiC DMOSFETs for power converter applicationsGreen, Ronald / Urciuoli, Damian / Ogunniyi, Aderinto / Koebke, Gail / Everhart, Lauren / Ibitayo, Dimeji / Lelis, Aivars / Hull, Brett et al. | 2007
- 1
-
Investigation of nanocrystalline diamond films as UV transparent Ohmic contacts to GaNTadjer, Marko J. / Hobart, Karl D. / Caldwell, Joshua D. / Mastro, Michael A. / Feygelson, Tatyana I. / Butler, James E. / Alexson, Dimitri A. / Kub, Fritz J. et al. | 2007
- 1
-
Demonstration of 10 kV, 50A 4H-SiC DMOSFET with stable subthreshold characteristics across 25–200 °C operating temperaturesHowell, Robert S. / Buchoff, Steven / Van Campen, Stephen / McNutt, Ty / Nechay, Bettina / Sherwin, Marc / Singh, Ranbir et al. | 2007
- 1
-
Source/drain-extension-last process for incorporating in situ doped lattice-mismatched extension stressor for enhanced performance in SOI N-FETHoong-Shing Wong, / Kah-Wee Ang, / Lap Chan, / Keat-Mun Hoe, / Chih-Hang Tung, / Balasubramaniam, N. / Weeks, Doran / Landin, Trevan / Spear, Jennifer / Thomas, Shawn G. et al. | 2007
- 1
-
Metallic multiwalled carbon nanotubes for microwave applicationsAl Ahmad, Mahmoud / Tahraoui, Abbes / Milne, W. I. / Plana, Robert et al. | 2007
- 1
-
Extraction of effective trap density and gate length in AlGaN/GaN HEMTs based on pulsed I-V characteristicsHyeongnam Kim, / Wu Lu, et al. | 2007
- 1
-
Simplified Si resonant interband tunnel diodesThompson, Phillip E. / Jernigan, Glenn G. / Si-Young Park, / Ronghua Yu, / Anisha, R. / Berger, Paul R. / Pawlik, David / Krom, Raymond / Rommel, Sean L. et al. | 2007
- 1
-
Anneal time study of Si resonant interband tunnel diodes grown by low-temperature molecular-beam epitaxyKrom, R. / Pawlik, D. J. / Muhkerjee, S. / Pandharpure, S. / Kurinec, S. K. / Park, S-Y. / Yu, R. / Anisha, R. / Berger, P. R. / Thompson, P. E. et al. | 2007
- 1
-
Impact of source to drain tunneling on the Ion/Ioff trade-off of alternative channel material MOSFETsRafhay, Quentin / Clerc, Raphael / Ghibaudo, Gerard / Pananakakis, Georges et al. | 2007
- 1
-
Bottom contact organic transistor based on air-stable n-type F15-NTCDIJia Sun, / See, Kevin / Katz, Howard E. et al. | 2007
- 1
-
Room-temperature bias acceleration test for data retention screening on SONOS nonvolatile memory devicesJeong-Mo Hwang, / Wallinger, Todd / Hackbarth, Holden et al. | 2007
- 1
-
Addressing challenges in device-circuit modeling for extreme environments of spaceRaman, Ashok / Turowski, Marek / Fedoseyev, Alex / Cressler, John D. et al. | 2007
- 1
-
Device and circuit modeling using novel 3-state quantum dot gate FETsJain, F. C. / Heller, E. / Karmakar, S. / Chandy, J. et al. | 2007
- 1
-
Poly-silicon quantum dot single electron transistorsKwon-Chil Kang, / Sangwoo Kang, / Hong Sun Yang, / Seung-hwan Song, / Jinho Kim, / Jong Duk Lee, / Byung-Gook Park, et al. | 2007
- 1
-
Advanced rad hard SRAM development and hardware test resultsDoyle, Scott / Hoang, Tri / Ross, Jason / Haddad, Nadim / Lawrence, Reed / Chan, Ernie et al. | 2007
- 1
-
Single poly EEPROM with N-well and stacked MIM capacitor for control gateZhi-Yuan Cui, / Nam-Soo Kim, et al. | 2007
- 1
-
Fin flash memory cells with separated double gatesJang-Gn Yun, / Yoon Kim, / Il Han Park, / Seongjae Cho, / Jung Hoon Lee, / Doo-Hyun Kim, / Gil Sung Lee, / Dong Hua Lee, / Se Hwan Park, / Wonbo Shim Jong-Duk Lee, et al. | 2007
- 1
-
Alternative MOS devices for the manufacture of high-density ICsMarino, F.A. / Meneghesso, G. et al. | 2007
- 1
-
Modeling special high frequency devices using artificial neural networksDobes, Josef / Pospisil, Ladislav et al. | 2007
- 1
-
Low voltage charge-balanced capacitance-voltage conversion circuit for one-side-electrode-type fluid-based inclination sensorAsrulnizam Bin Abd Manaf, / Yoshinori Matsumoto, et al. | 2007
- 1
-
Nanostructured substrates for SERS detection of serotonin to indicate heart transplant rejectionCheng, Y.-H. / Achiam, Y. / Chang, C. / Rosfjord, K.M. et al. | 2007
- 1
-
The effects of plasma treatment on the thermal stability of HfAlOx thin filmsKow-Ming Chang, / Bwo-Ning Chen, / Shih-Ming Huang, et al. | 2007
- 1
-
Technological constrains of bulk FinFET structure in comparison with SOI FinFETPoljak, Mirko / Jovanovic, Vladimir / Suligoj, Tomislav et al. | 2007
- 1
-
Nitrogen gas flow ratio controlled PVD TiN metal gate technology for FinFET CMOSYongxun Liu, / Tetsuro Hayashida, / Takashi Matsukawa, / Kazuhiko Endo, / Meishoku Masahara, / Shin-ichi O'uchi, / Kunihoro Sakamoto, / Kenichi Ishii, / Junichi Tsukada, / Yuki Ishikawa, et al. | 2007
- 1
-
Chip-in-flex technology for flexible electronics applicationsLewis, Jay / Temple, Dorota et al. | 2007
- 1
-
Integration of physics/chemistry into teaching of electronic devices in undergraduate engineering technology programsSulaiman, Munir M. et al. | 2007
- 1
-
Enhancement of critical dimension of wet-etched thick insulator holes in triode CNT-FED devicesHsiao-Fen Wei, / Ging-Ho Hsiue, / Chin-Yh Liu, / Kuo-Feng Chen, / Kuang-Chung Chen, et al. | 2007
- 1
-
1-D wavefunction localization and effective quantum wire behavior inside QWs deposited on textured GaN materialsRiyopoulos, Spilios / Moustakas, Theodore et al. | 2007
- 1
-
Phonon heat dissipation in siliconAksamija, Zlatan / Ravaioli, Umberto et al. | 2007
- 1
-
CMOS-compatible light emission device based on thin aluminum nitride film containing Al nanocrystalsLiu, Y. / Chen, T. P. / Yang, M. / Liu, Z. / Ding, L. / Zhang, S. / Li, Y. B. et al. | 2007
- 1
-
Demonstration of the first power IC on 4H-SiCZhao, J. H. / Zhang, Y. / Su, M. / Sheng, K. / Alexandrov, P. / Fursin, L. et al. | 2007
- 1
-
Investigation into key technologies of 12-bit DA converterWeidong Yang, / Ruzhang Li, / Yong Liu, et al. | 2007
- 1
-
An empirical study of dynamic properties of an individual carbon nanotube electron source systemRibaya, Bryan P. / Niemann, Darrell L. / Makarewicz, Joseph / Gunther, Norman G. / Nguyen, Cattien V. / Rahman, Mahmud et al. | 2007
- 1
-
A semi empirical model of vertically aligned carbon nanofiber for field emission devices for circuit applicationRahman, T. / Eliza, S. A. / Islam, S. K. / Baylor, L. R. / Grundman, T. R. et al. | 2007
- 1
-
Predictive technology modeling for 32nm low power designWei Zhao, / Xia Li, / Nowak, Matt / Yu Cao, et al. | 2007
- 1
-
Monte Carlo simulation of hot optical phonon decay in a carbon nanotubePennington, Gary / Kilpatrick, Steve J. / Wickenden, Alma E. et al. | 2007
- 1
-
Monocrystalline SiGe for high-performance uncooled thermistorWissmar, S.G.E / Kolahdouz, M. / Yamamoto, Y. / Tillack, B. / Vieider, C. / Andersson, J. Y. / Radamsson, H.H. et al. | 2007
- 1
-
Characterization of the origin of band states in the SiC/SiO2 interfaceBiggerstaff, Trinity L. / McClellan, Ryan D. / Lelis, Aivars / Zheleva, Tsvetanka / Haney, Sarah / Agarwal, Anant. / Windl, Wolfgang. / Sanwu Wang, / Duscher, Gerd et al. | 2007
- 1
-
Tunable Optically pumped high power terahertz laser on cyclotron resonance in semiconductorsVeksler, Dmitry / Muraviev, Andrei / Shastin, Valery N. / Shur, Michael et al. | 2007
- 1
-
Silicon nanowire memory application using hafnium oxide charge storage layerXiaoxiao Zhu, / Qiliang Li, / Ioannou, Dimitris E. / Kimes, William A / Suehle, John S. / Maslar, James E. / Xiong, Hao D. / Shuo Yang, / Richter, Curt A. et al. | 2007
- 1
-
Metal molecule GaAs devices using redox-active organic self-assembled monolayersJean, Rand K. / Bin Xi, / Tong Ren, / Janes, David B. et al. | 2007
- 1
-
Achieving high mobilities in solution-processable organic FETs by minimizing contact effectsHamadani, Behrang H. / Iain McCulloch, / Heeney, Martin / Gundlach, David J. et al. | 2007
- 1
-
Gallium nitride nanowire devices - fabrication, characterization, and transport propertiesMotayed, A. / Davydov, A. V. / Mohammad, S. N. / Melngailis, J. et al. | 2007
- 1
-
Improvement of the performance of strained 0.13 μm MOSFETs mounted on flexible plastic substratesKao, H. L. / Liao, C. C. / McAlister, S. P. / Chin, Albert et al. | 2007
- 1
-
Vertical silicon-on-nothing FET: Threshold voltage calculation using compact capacitance modelSvilicic, Boris / Jovanovic, Vladimir / Suligoj, Tomislav et al. | 2007
- 1
-
PCA-based network modeling using standardized X-ray diffraction data for the electrical characteristics of the HfO2 thin films grown by MOMBEYoung-Don Ko, / Pyung Moon, / Chang Eun Kim, / Moon-Ho Ham, / Jae-Min Myoung, / Ilgu Yun, et al. | 2007
- 1
-
Integrated solid-state optoelectronic sensor system for biochemical detection and quantificationJoseph, Clement / Naoum, Hanae / Boukadoum, Mounir / Starikov, David / Bensaoula, Abdelhak et al. | 2007
- 1
-
Barrier height modulation and dipole moments in metal-molecule-silicon diodesScott, Adina / Risko, Chad / Ratner, Mark A. / Janes, David B. et al. | 2007
- 1
-
Effects of oxygen content on the structural and sensing properties of Y203 sensing membrane for pH-ISFET applicationTung-Ming Pan, / Kao-Ming Liao, / Li-Chen Yen, / Yu-Yi Hsieh, / Yue-Zhang Chen, et al. | 2007
- 1
-
Investigation of a MOSCAP using NEGFKarner, M. / Baumgartner, O. / Pourfath, M. / Vasicek, M. / Kosina, H. et al. | 2007
- 1
-
On-state and switching performance investigation of sub-50nm L-DUMGAC MOSFET design for high-speed logic applicationsChaujar, Rishu / Kaur, Ravneet / Saxena, Manoj / Gupta, Mridula / Gupta, R. S. et al. | 2007
- 1
-
Optimum design of spacer-type storage nodes in recessed channel structure for 2-bit/cell SONOS flash memory cellKyoung-Rok Han, / Jung, H. / Park, K.-H. / Kim, Y. M. / Choi, B.-K. / Jung, S.-G. / Jong-Ho Lee, et al. | 2007
- 1
-
Fin and recess channel MOSFET (FiReFET) for performance enhancement of Sub-50 nm DRAM cellJae Young Song, / Jong Pil Kim, / Sang Wan Kim, / Han Ki Jung, / Jae Hyun Park, / Jong Duk Lee, / Byung-Gook Park, et al. | 2007
- 1
-
High capacitance battery for powering distributed networks node devicesNgu, Yves T. / Dilli, Zeynep / Peckerar, Martin / Goldsman, Neil et al. | 2007
- 1
-
Predicting the reliability of metal-insulator-metal capacitors (MIMC) in analog devices by modelingGreenwood, Bruce / Prasad, Jagdish et al. | 2007
- 1
-
Three-dimensional nano electronics by dielectrophoretic assembly on a flexible substrateChia-Ling Chen, / Shih-Hsien Chao, / Selvapraba Selvarasah, / Dokmeci, Mehmet R. et al. | 2007
- 1
-
Dimensional dependences of the dynamic-NBTI with 1.2 nm N20-ISSG oxynitridesChao Sung Lai, / Huang, D.C. / Chung, S.S. et al. | 2007
- 1
-
Interface study of atomic-layer-deposited HfO2/NO-nitrided SiO2 gate dielectric stack on 4H SiCYanqing Wu, / Shurui Wang, / Yi Xuan, / Tian Shen, / Ye, Peide D. / Cooper, James A. et al. | 2007
- 1
-
Implant activation in GaN Using an AlN capHager, C. / Jones, K. A. / Derenge, M.A. / Ewing, D.J. / Zheleva, T.S. et al. | 2007
- 1
-
Simultaneous study of nickel based ohmic contacts to Si-face and C-face of n-type silicon carbideGhandi, R. / Lee, H-S. / Domeij, M. / Zetterling, C-M. / Ostling, M. et al. | 2007
- 1
-
Strained-Si:C-source/drain NMOSFETs for channel strain enhancementLee, M. H. / Chang, S. T. / Huang, C.-F. / Maikap, S. / Shen, K.-W. / Syu, R.-S. / Liu, Y.-T. et al. | 2007
- 1
-
The effect of GE mole fraction on the electrical characteristics of nanoscale Si/SiGe heterostructure pMOSFETFathipour, Morteza / Abbaszadeh, Behrooz / Kohani, Fatemeh / Farbiz, Farzan et al. | 2007
- 1
-
Impacts of precursor flow rate and temperature of PECVD-SiN capping films on strained-channel NMOSFETsChing-Sen Lu, / Horng-Chih Lina, / Yao-Jen Lee, / Tiao-Yuan Huang, et al. | 2007
- 1
-
Diamondoids in nanotechnology: First-principles simulation of electronic structure and nonlinear optical response in adamantaneGeorge, Thomas F. / Zhang, Guoping / Ali Mansoori, G. / Assoufi, Lahsen et al. | 2007
- 1
-
Challenges in SiC power MOSFET designMatocha, Kevin et al. | 2007
- 1
-
A simple hardware-based statistical model on 65nm SOI CMOS technologyLiang, Q. / Johnson, J. / Walko, J. / Cai, M. / Wang, Y. / Logan, R. / Fried, D. / Freeman, G. / Maciejewski, E. / Nowak, E. et al. | 2007
- 1
-
A fast semi-numerical technique for the solution of the poisson-boltzmann equation in a cylindrical nanowireRamu, Ashok T. / Anantram, Manjeri P. / Banerjee, Kaustav et al. | 2007
- 1
-
Temperature dependent empirical modeling of proximity diffused Si esaki diodes and memory circuitsPawlik, D. J. / Muhkerjee, S. / Krom, R. / Pandharpure, S. / Kurinec, S. K. / Anisha, R. / Berger, P. R. / Rommel, S. L. et al. | 2007
- 1
-
Amorphous SiC as a structural layer in microbridge-based RF MEMS switches for use in software-defined radioScardelletti, M.C. / Parro, R.J. / Varaljay, N. / Zimmerman, S. / Zorman, C.A. et al. | 2007
- 1
-
Atomic scale defects in 4H SiC/SiO2 using electron spin resonanceRape, Aaron / Lenahan, P.M. / Lelis, A.J. et al. | 2007
- 1
-
The influence of substrate atomic step morphology on threading dislocation distributions in iii-nitride filmsPicard, Yoosuf N. / Caldwell, Joshua D. / Twigg, Mark E. / Eddy, Chip R. / Mastro, Michael A. / Henry, Richard L. / Holm, Ronald T. / Neudeck, Philip G. / Trunek, Andrew J. / Powell, J. Anthony et al. | 2007
- 1
-
Triangular shaped defects limiting reverse blocking performance of 4H Silicon Carbide high power junction barrier Schottky devicesBerechman, R. A. / Skowronski, M. / Zhang, Q. et al. | 2007
- 1
-
Properties of high-performance phototransistor photodetector (PTPD) in standard SiGe BiCMOS technologyKuang-Sheng Lai, / Ji-Cheng Huang, / Klaus Y.-J. Hsu, et al. | 2007
- 1
-
Determination of the channel temperature in GaN MOSHFETs under microwave operational conditionsYanqing Deng, / MD Monirul Islam, / Mikhail Gaevski, / Zijiang Yang, / Vinod Adivarahan, / Asif Khan, et al. | 2007
- 1
-
A novel low-trigger and high-holding voltage SCR without externally- assisted circuitry for area-efficient on-chip ESD protectionLifang Lou, / Liou, Juin J. et al. | 2007
- 1
-
Y-coupled GaAs quantum cascade lasersStrasser, Gottfried / Hoffmann, Leonard K. / Hurni, Christophe A. / Mujagic, Elvis / Nobile, Michele / Andrews, Aaron M. / Klang, Pavel / Schrenk, Werner et al. | 2007
- 1
-
Superior n-MOSFET performance by optimal stress designYang, Y.-J. / Liao, M. H. / Liu, C. W. / Lingyen Yeh, / Lee, T.-L / Liang, M.-S. et al. | 2007
- 1
-
Novel SONOS-type nonvolatile memory device with stacked tunneling and charge-trapping layersPing-Hung Tsai, / Kuei-Shu Chang-Liao, / Tai-Yu Wu, / Tien-Ko Wang, / Pei-Jer Tzeng, / Cha-Hsin Lin, / Lung-Sheng Lee, / Ming-Jin Tsai, et al. | 2007
- 1
-
FinFETs with both large body factor and high drive-currentKeisuke Takahashi, / Arifin Tamsir Putra, / Ken Shimizu, / Toshiro Hiramoto, et al. | 2007
- 1
-
Analysis of the BSIMSOI threshold voltage model for short channel PD-SOI DTMOSJimenez P, A. / De la Hidalga-W, F. J. / HemAndez-M, L. / Rosales-Q, P. et al. | 2007
- 1
-
A quantum mechanical mobility model for scaled NMOS transistors with ultra-thin high-K dielectrics and metal gate electrodesYanli Zhang, / Zhian Jin, / Gan Wang, / Liyanage, Luckshitha S. / White, Marvin H. et al. | 2007
- 1
-
Functionalized organic semiconductor-based field-effect transistors for phosphonate vapor detectionJia Huang, / Miragliotta, Joseph / Becknell, Alan / Katz, Howard E. et al. | 2007
- 1
-
Ballisticity at very low drain bias in DG SOI Nano-MOSFETsSampedro, Carlos / Gamiz, Francisco / Godoy, Andres / Cristoloveanu, Sorin et al. | 2007
- 1
-
Relative intensity noise study in the injection-locked integrated electroabsorption modulator-lasersXiaomin Jin, / Bennet Yun Tarng, / Shun Lien Chuang, et al. | 2007
- 1
-
Analysis of the mechanism and characteristic for energy loss in a gate-commutated thyristorZhang, Ru-Liang / Gao, Yong / Chen, Xi / Wang, Cai-Lin et al. | 2007
- 1
-
Spontaneous emission modification analysis of hexagonally shaped nanowire lasersKarami, M.A. / Afzali-Kusha, A. / Faraji-Dana, R. et al. | 2007
- 1
-
The limiting frontiers of maximum DC voltage at the drain of SiC microwave power transistors in case of class-A power amplifierAzam, Sher / Jonnson, R. / Wahab, Q. et al. | 2007
- 1
-
Plasmon-assisted power dissipation in GaN-based 2DEG channels for power HFETsMatulionis, A. / Liberis, J. / Matulioniene, I. / Ramonas, M. / Sermuksnis, E. et al. | 2007
- 1
-
Integrated CMOS photo-transistor array for visual light identification (ID)Yoshinori Matsumoto, / Takaharu Hara, / Yohsuke Kimura, et al. | 2007
- 1
-
An analytical extraction method for scalable substrate resistance model in RF MOSFETsShih-Ping Kao, / Chih-Yuan Lee, / Chuan-Yu Wang, / Joseph Der-Son Deng, / Chen-Chai Chang, / Chin-Hsing Kao, et al. | 2007
- 1
-
Electrically detected magnetic resonance studies of processing variations in 4H SiC based MOSFETsCochrane, Corey J. / Lenahan, Patrick M. / Lelis, Aivars J. et al. | 2007
- 1
-
High temperature high field numerical modeling and experimental characterization of 4H-SiC MOSFETsPotbhare, Siddharth / Goldsman, Neil / Lelis, Aivars et al. | 2007
- 1
-
A novel gated transmission line method for organic thin film transistorsKeum-Dong Jung, / Byeong-Ju Kim, / Yoo Chul Kim, / Byung-Gook Park, / Hyungcheol Shin, / Jong Duk Lee, et al. | 2007
- 1
-
H2 etching and epitaxial growth on 4H-SiC boule domesMyers-Ward, Rachael L. / Kok-Keong Lew, / VanMil, Brenda L. / Eddy,, Charles R. / Gaskill, D. Kurt / Wood, Colin E. et al. | 2007
- 1
-
80nm In0.52Al0.48As/In0.53Ga0.47As/InAs0.3P0.7 Composite channel HEMT with an fT of 280GHzLiu, D. / Hudait, M. / Lin, Y. / Ringel, S. A. / Lu, W. et al. | 2007
- 1
-
Impact of lateral engineering on the logic performance of sub-50 nm InGaAs HEMTsDae-Hyun Kim, / del Alamo, Jesus A. et al. | 2007
- 1
-
Compact demultiplexers with narrow spectral width channels using alternating-defect coupled-cavity waveguides (AD-CCWs)Zeller, J. W. / Jain, F. C. et al. | 2007
- 1
-
Simulating novel EM effectsCeperley, Daniel P. / Neureuther, Andrew et al. | 2007
- 1
-
Enhanced nonlinear susceptibility in strained quantum cascade lasersRoberts, Denzil / Triplett, Gregory et al. | 2007
- 1
-
Self-aligned inversion n-channel In0.2Ga0.8As/GaAs MOSFET with TiN gate and Ga2O3(Gd2O3) dielectricChih-Ping Chen, / Tsung-Da Lin, / Yao-Chung Chang, / Mingwhei Hong, / Raynien Kwo, J. et al. | 2007
- 1
-
Electrical properties of HfO2/InAs MOS capacitorsWheeler, Dana / Seabaugh, Alan / Froberg, Linus / Thelander, Claes / Wernersson, Lars-Erik et al. | 2007
- 1
-
Numerical modeling of nanotube embedded chemicapacitive sensorsRusak, Tal / Akturk, Akin / Goldsman, Neil et al. | 2007
- 1
-
AU/ZNO-nanocomposite/(100)SI N-P heterojunction diodes for gas sensorsAli, Hasina / Iliadis, Agis et al. | 2007
- 1
-
Simulation of silicon nanowire tunneling field-effect transistors including quantum effectsHeigl, Alexander / Wachutka, Gerhard et al. | 2007
- 1
-
A quantum dot memory cell based on spin polaron formationEnaya, Hani / Semenov, Yuriy G. / Ki Wook Kim, / Zavada, John M. et al. | 2007
- 1
-
Hydrogen-terminated boron-doped diamond films under intense gamma irradiationGupta, S. / Muralikiran, M. / Farmer, J. / Han, X. / Greenlief, C. M. / Robertson, J. D. et al. | 2007
- 1
-
A novel SiGe-On-Insulator IMOS device with reduced bias voltagesHamed Nematian, / Morteza Fathipour, / Hajghasem, Hassan s. / Farzan Farbiz, et al. | 2007
- 1
-
Transistor with moving gate to control electron flowChuri, A. / Kurlawala, P. / Magaldi, L. / Mil'shtein, S. et al. | 2007
- 1
-
STI Mechanical-stress induced subthreshold kink effect of 40nm PD SOI NMOS deviceIsion Lin, / Vincen Su, / Kuo, James B. / Mike Ma, / Cheng-Tzung Tsai, / Chung-Sing Yeh, / Chen, David et al. | 2007
- 1
-
Integration of small antennas for ultra small nodes in wireless sensor networksYang, Bo / Shao, Xi / Balzano, Quirino / Goldsman, Neil et al. | 2007
- 1
-
A new self-heating effects model for 4H-SiC MESFETsQuanjun Cao, / Yimen Zhang, / Yuming Zhang, / Hui Guo, et al. | 2007
- 1
-
Hydrodynamic transport parameters of wurtzite ZnO from analytic- and full-band Monte Carlo SimulationFurno, Enrico / Bertazzi, Francesco / Goano, Michele / Ghione, Giovanni / Bellotti, Enrico et al. | 2007
- 1
-
Ultrathin MBE-Grown AlN/GaN HEMTs with record high current densitiesCao, Y. / Zimmermann, T. / Deen, D. / Simon, J. / Bean, J. / Su, N. / Zhang, J. / Fay, P. / Xing, H. / Jena, D. et al. | 2007
- 1
-
Physical and Optical Characterization of GaN Doped with Neodymium grown by Plasma-Assisted Molecular Beam EpixatyReadinger, Eric D. / Chern-Metcalfe, Grace D. / Shen, Hongen / Wood, Mark / Wraback, Michael / Dierolf, Volkmar et al. | 2007
- 1
-
Analysis of AlGaN/GaN HEMT modulated by photosystem I reaction centersEliza, S. A. / Islam, S. K. / Lee, I. / Greenbaum, E. et al. | 2007
- 1
-
Large current enhancement in n-MOSFETs with strained Si on insulatorMantl, S. / Buca, D. / Zhao, Q. T. / Hollander, B. / Feste, S. / Luysberg, M. / Reiche, M. / Gosele, U. / Buchholtz, W. / Wei, A. et al. | 2007
- 1
-
Analytic diffusion and drift components of drain current for double gate MOSFETsChun-Hsing Shih, / Jhong-Sheng Wang, et al. | 2007
- 1
-
Low-loss high-power AlInGaN RF switchesSimin, Grigory / Xuhong Hu, / Zijiang Yang, / Jinwei Yang, / Shur, Michael / Gaska, Remis et al. | 2007
- 1
-
NEMS switch with 30 nm thick beam and 20 nm high air gap for high density non-volatile memory applicationsMin-Sang Kim, / Weon Wi Jang, / Ji-Myoung Lee, / Sung-Min Kim, / Eun-Jung Yun, / Keun-Hwi Cho, / Sung-Young Lee, / In-Hyuk Choi, / Yong, / Jun-Bo Yoon, et al. | 2007
- 1
-
Two-Band k·p model for the conduction band in silicon: impact of Strain and confinement on band structure and mobilitySverdlov, Viktor / Karlowatz, Gerhard / Siddhartha Dhar, / Kosina, Hans / Selberherr, Siegfried et al. | 2007
- 1
-
Conduction-band spin splitting effects in staggered-bandgap structuresWeidong Zhang, / Woolard, Dwight et al. | 2007
- 1
-
Performance analysis of multi-walled carbon nanotube based interconnectsHong Li, / Wen-Yan Yin, / Jun-Fa Mao, / Banerjee, Kaustav et al. | 2007
- 1
-
Milliwatt THz ouptut power from a photoconductive switchBrown, E. R. et al. | 2007
- 1
-
Transparent organic field-effect transistors with carbon nanotube electrodesSouthard, Adrian / Sangwan, Vinod Kumar / Williams, Ellen D. / Fuhrer, Michael S. et al. | 2007
- 1
-
Mechanically strained laser crystallized poly-silicon thin film transistors and ring oscillators fabricated on stainless steel foilsJamshidi-Roudbari, Abbas / Kuo, Po-Chin / Hatalis, Miltiadis et al. | 2007
- 1
-
Organic and carbon-based electronics printed onto flexible substratesHines, D. R. / Southard, A. E. / Sangwan, V. / Chen, J-H. / Fuhrer, M. S. / Williams, E. D. et al. | 2007
- 1
-
Maximum intrinsic gain degradation in technology scalingPude, Mark / Macchietto, Chris / Singh, Prashant / Burleson, Jeff / Mukund, P.R. et al. | 2007
- 1
-
Minor magnesium doping in P-type layer of InGaN/GaN MQW LED to enhance electrical and optical propertiesMeng-Fu Shih, / Yung-Hsiang Lin, / Chun-Wei Liao, / Chang-Ying Yen, / Yi-Lun Chou, / Ray-Ming Lin, et al. | 2007
- 1
-
Comprehensive study on dynamic bias temperature instability of p-channel polycrystalline silicon thin-film transistorsC.-F. Huang, / Y.-J. Yang, / C.-Y. Peng, / H.-C. Sun, / C. W. Liu, / C.-W. Chao, / K.-C. Lin, et al. | 2007
- 1
-
Effects of cryogenic temperatures on small-signal MOSFET capacitancesAkturk, A. / Goldsman, N. / Dilli, Z. / Peckerar, M. et al. | 2007
- 1
-
InGaAs n-MOS devices integrated using ALD-HfO2/metal gate without surface cleaning and interfacial layer passivationChang, Y. C. / Huang, M. L. / Lee, Y. J. / Lee, K. Y. / Lin, T. D. / Hong, M. / Kwo, J. / Liao, C. C. / Cheng, K. Y. / Lay, T.S. et al. | 2007
- 1
-
Proton-induced SEU in SiGe digital logic at cryogenic temperaturesSutton, Akil. K. / Cressler, John D. / Carts, Martin A. / Marshall, Paul W. / Pellish, Jonathan A. / Reed, Robert A. / Alles, Michael L. / Guofu Niu, et al. | 2007
- 1
-
Impact of nitridation on negative and positive charge buildup in SiC gate oxidesRozen, John / Dhar, Sarit / Williams, John R. / Feldman, Leonard C. et al. | 2007
- 1
-
Electron capture and emission at interface states in As-oxidized and NO-annealed SiO2/4H-SiCXudong Chen, / Sarit Dhar, / Isaacs-Smith, Tamara / Williams, John R. / Feldman, Leonard C. / Mooney, Patricia M. et al. | 2007
- 1
-
Development of solid state left-handed materials using intrinsic quantum statesKrowne, Clifford M. et al. | 2007
- 1
-
A new impact ionization current model applicable to both bulk and SOI MOSFETs by considering self-lattice-heatingChengqing Wei, / Xing Zhou, / Guan Huei See, et al. | 2007
- 1
-
An adaptive nonlinear estimator for the MEMS capacitive accelerometer based on adaptive input-output feedback linearizationArab Hassani, F / Farrokh Payamb, A / Fathipour, M / Farbiz, F. et al. | 2007
- 1
-
Relating electrical and computer engineering to the high school classroomDilli, Zeynep / Goldsman, Neil et al. | 2007
- 1
-
Corner lot process variation effects on high speed ADCs for satellite receiversKim, Seokjin / Elkis, Radmil / Peckerar, Martin M. et al. | 2007
- 1
-
Low power receiver design utilizing weak inversion and RF energy harvesting for demodulationSalter, Thomas S. / Bo Yang, / Goldsman, Neil et al. | 2007
- 1
-
RF Nanoelectromechanical switch employing nanowiresAl Ahmad, Mahmoud / Habtoun, Sabrina / Bergaud, Christian / Dilhan, Monique / Bourrier, David / Plana, Robert et al. | 2007
- 1
-
Low resistivity hafnium nitride thin films as diffusion barriers for Cu interconnectsAraujo, Roy A. / Zhang, X. / Wang, H. et al. | 2007
- 1
-
Two-dimensional analytical sub-threshold modeling and simulation of Gate Material Engineered HEMT for enhanced carrier transport EfficiencyKumar, Sona P. / Agrawal, Anju / Chaujar, Rishu / Gupta, Mridula / Gupta, R.S. et al. | 2007
- 1
-
Modeling and design of a monolithically integrated power converter on SiCL.C. Yu, / K. Sheng, / J.H. Zhao, et al. | 2007
- 1
-
Effect of P-type cladding layer and P++-GaN layer of InGaN/GaN MQWs blue LEDChun-Wei Liao, / Yung-Hsiang Lin, / Cheng-Ying Yen, / Pei-Wen Liu, / Yuan-Chieh Lu, / Ray-Ming Lin, et al. | 2007
- 1
-
Complex permittivity and permeability of single- and multi-walled carbon nanotubes at high microwave frequencies and quantifying microwave absorptionGupta, S. / Al Moayed, N. / Khan, U. / Obol, M. / Afsar, M. et al. | 2007
- 1
-
Thermal stability of 5 nm barrier InAlN/GaN HEMTsMedjdoub, F. / Alomari, M. / Carlin, J.-F. / Gonschorek, M. / Feltin, E. / Py, M. A. / Grandjean, N. / Kohn, E. et al. | 2007
- 1
-
Auxiliary resistive components for millimeter to sub-mm astronomical observatoriesBrown, Ari-David / Chervenak, James / Chuss, David / Henry, Ross / Wollack, Edward / Mikula, Vilem et al. | 2007
- 1
-
Design and simulation of strained Si/SiGe dual channel MOSFETsGoyal, Puneet / Moon, James E. / Kurinec, Santosh K. et al. | 2007
- 1
-
The electrical characteristic of commercial GaN blue light emitting diodeAlahmadi, N.A. / Harrison, I. / Badr, K.H. et al. | 2007
- 1
-
Computational lithography for nanostructure science and technologyPeckerar, Martin / Sander, David / Srivastava, Ankur / Foli, Adakou et al. | 2007
- 1
-
Characterization of coxniyo bimetallic oxide nanoparticles as charge trapping nodes in nonvolatile memory devicesChin-Lung Cheng, / Kuei-Shu Chang-Liao, / Ping-Hung Tsai, / Chien-Wei Liu, / Jin-Tsong Jeng, / Sung-Wei Huang, / Bau-Tong Dai, et al. | 2007
- 1
-
SiC avalanche photodiodesCampbell, Joe C. / Han-Din Liu, / McIntosh, Dion / Xiaogang Bai, et al. | 2007
- 1
-
Physics based current and capacitance modeling of short-channel double gate MOSFETsBorli, Hakon / Kolberg, Sigbjorn / Fjeldly, Tor A. et al. | 2007
- 1
-
The ultimate MOSFET and the limits of miniaturizationLundstrom, Mark et al. | 2007
- 1
-
Carrier-transport-enhanced CMOS using new channel materials and structuresShinichi Takagi, / Toshifumi Irisawa, / Tsutomu Tezuka, / Shu Nakaharai, / Koji Usuda, / Norio Hirashita, / Mitsuru Takenaka, / Naoharu Sugiyama, et al. | 2007
- 1
-
Picosecond electrical soliton oscillators & THz electronicsYildirim, Omer Ozgur / Ham, Donhee et al. | 2007
- 1
-
Single charge detection using single-walled carbon nanotube single-hole transistorTakafumi Kamimura, / Yasuhide Ohno, / Kazuhiko Matsumoto, et al. | 2007
- 1
-
Implementation and study of photovoltaic cells based on InP lattice-matched InGaAs and InGaAsPEmziane, Mahieddine / Tuley, Richard / Nicholas, Robin J. / Rogers, Dave C. / Cannard, Paul J. / Dosanjh, Jeevan et al. | 2007
- 1
-
Vertical AND (V-AND) array: High density, high speed, and reliable flash arrayIl Han Park, / Seongjae Cho, / Jung Hun Lee, / Gil Seong Lee, / Doo-Hyun Kim, / Jang-Gn Yoon, / Yoon Kim, / Sangwoo Kang, / Il Hwan Cho, / Daewoong Kang, et al. | 2007
- 1
-
A high voltage PMOS transistor for quenching of geiger-mode avalanche photodiodes in deep submicron CMOS technologiesMarwick, Miriam Adlerstein / Andreou, Andreas G. et al. | 2007
- 1
-
Leakage effect suppression in charge pumping measurement and stress-induced traps in high-k Gated MOSFETsChun-Chang Lu, / Kuei-Shu Chang-Liao, / Chun-Yuan Lu, / Shih-Chang Chang, / Tien-Ko Wang, et al. | 2007
- 1
-
Temperature dependent transport characteristics of multi-bridge-channel MOSFETs (MBCFETs)YoungChai Jung, / KeunHwi Cho, / ByoungHak Hong, / SuHeon Hong, / SungWoo Hwang, / Ahn, D. / Sung-Young Lee, / Min-Sang Kim, / Eun-Jung Yoon, / Dong-Won Kim, et al. | 2007
- 1
-
Effects of nitrogen incorporation by plasma immersion ion implantation on electrical characteristics of high-k gated MOS devicesFu, C.H. / Chang-Liao, K.S. / Chuang, H.C. / Wang, T.K. / Huang, S.F. / Tsai, W.F. / Ai, C.F. et al. | 2007
- 1
-
Interface dipole mechanism and NMOS Ni-FUSI gate work function engineering using rare-earth metal (RE)-based dielectric interlayersAndy Eu-Jin Lim, / Wei-Wei Fang, / Fangyue Liu, / Lee, Rinus T. P. / Samudra, Ganesh S. / Dim-Lee Kwong, / Yee-Chia Yeo, et al. | 2007
- 1
-
Sensing characteristics of a novel MISiC Schottky-diode hydrogen sensor with HfO2 as gate insulatorTang, W.M. / Leung, C.H. / Lai, P.T. et al. | 2007
- 1
-
Simulation study on negative read biasing effects for the reliable operation of NOR type floating gate flash memory devicesSeongjae Cho, / Il Han Park, / Jong Duk Lee, / Byung-Gook Park, et al. | 2007
- 1
-
Comparative study of p+/n+ gate modified Saddle MOSFET and p+/n+ gate bulk FinFETs for sub-50 nm DRAM cell transistorsKi-Heung Park, / Han, K. -R. / Kim, Y. M. / Choi, B. -K. / Jong-Ho Lee, et al. | 2007
- 1
-
Application of a switch-type comparator in 4-b flash ADCZhi-Yuan Cui, / Byeong-Seong So, / In-Seok Jung, / Hyung-Gyoo Lee, / Nam-Soo Kim, et al. | 2007
- 1
-
Drain current model for undoped symmetric double-gate FETs using a velocity saturation model with exponent n=2Hariharan, Venkatnarayan / Vasi, Juzer / Rao, Ramgopal et al. | 2007
- 1
-
Wavefunction penetration effect on C-V characteristic of double gate MOSFETAlam, M. K. / Alam, A. / Ahmed, S. / Rabbani, M. G. / Khosru, Q. D. M. et al. | 2007
- 1
-
Thermopile infrared detector fabricated with dry silicon etchant XeF2Hengzhao Yang, / Bin Xiong, / Tie Li, / Yuelin Wang, et al. | 2007
- 1
-
Effect of squeeze-film damping on the dynamic behavior of circular and rectangular microplatesahmad Tajalli, S. / ahmadian, M.T / Hamid Sadeghian, et al. | 2007
- 1
-
Embedded sensors for mechanical stress monitoring in copper damascene interconnectsDelamare, R. / Kasbari, M. / Rivero, Ch. / Blayac, S. / Inal, K. et al. | 2007
- 1
-
Study of low-temperature and post-stress hysteresis in high-k gate dielectricsYou-Lin Wu, / Shi-Tin Lin, / Chang Cheng Yang, / Chien-Hung Wu, / Chin, Albert et al. | 2007
- 1
-
Temperature dependant characteristics of scaled NMOS transistors with ultra-thin high-K dielectrics and metal gate electrodesYanli Zhang, / Liyanage, Luckshitha S. / Gan Wang, / Zhian Jin, / White, Marvin H. et al. | 2007
- 1
-
Novel method for crystallization of amorphous silicon for poly silicon liquid crystal displaysDoudoumopoulos, Nick / Christensen, C. Paul et al. | 2007
- 1
-
Electrical sorting of carbon nanotube transistors for mass-producible bio-sensorsGyoung-Ho Buh, / Jea-Ho Hwang, / Eun-kyoung Jeon, / Byoung-Kye Kim, / Hye-Mi So, / Dong-Won Park, / Hyunju Chang, / Ki-jeong Kong, / Jeong-O Lee, et al. | 2007
- 1
-
Gate dielectric engineering of sub quarter micron AlGaN/GaN metal insulator semiconductor heterostructure field effect transistor (MISHFET) for high gain characteristicsAggarwal, Ruchika / Agrawal, Anju / Gupta, Mridula / Gupta, R.S. et al. | 2007
- 1
-
2-Dimensional simulation and characterization of deep-submicron AlGaN/GaN HEMTs for high frequency applicationsParvesh, / Kaur, Ravneet / Pandey, Sujata / Haldar, Subhasis / Gupta, Mridula / Gupta, R.S. et al. | 2007
- 1
-
Effect of the aspect ratio in AlGaN/GaN HEMT’s DC and small signal parametersHuque, M. A. / Eliza, S. A. / Rahman, T. / Huq, H. F. / Islam, S. K. et al. | 2007
- 1
-
Evolution of annealed undoped single crystal ZnO Surfaces and Implications for Schottky Barrier heightPugel, D.E. / Dhar, S. / Hullavarad, S.S. / Vispute, R.D. / Varughese, B. / Takeuchi, I. / Venkatesan, T. et al. | 2007
- 1
-
Projections of Schottky Barrier source-drain Gallium Nitride MOSFET based on TCAD simulation and experimental resultsMerve Ozbek, A. / Veety, Matthew T. / Morgensen, Michael / Ma, Lei / Johnson, M.A.L. / Barlage, Doug W. et al. | 2007
- 1
-
Design and modeling of a high fT and fmax heterojunction bipolar transistorPik-Yiu Chan, / Jain, Faquir C. et al. | 2007
- 1
-
Reliability of strained-channel NMOSFETs with SiN capping layer on hi-wafers with a thin LPCVD-TEOS buffer layerTzu-I Tsai, / Yao-Jen Lee, / King-Sheng Chen, / Jeff Wang, / Chia-Chen Wan, / Fu-Kuo Hsueh, / Horng-Chih Lin, / Tien-Sheng Chao, / Tiao-Yuan Huang, et al. | 2007
- 1
-
Core-shell germanium-silicon nanoparticle structure for high κ nonvolatile memory applicationsHai Liu, / Wyatt Winkenwerder, / Yueran Liu, / Stanley, Scott K. / Ekerdt, John G. / Banerjee, Sanjay K. et al. | 2007
- 1
-
High-gain optoelectronic amplification circuit for integrated optical detectorWeidong Yang, / Zhengfan Zhang, / Kaicheng Li, et al. | 2007
- 1
-
Sensitivity of static noise margins to random doping variations in 6T SRAM cellsOniciuc, Liviu / Andrei, Petru et al. | 2007
- 1
-
Material choice for optimum stress memorization in SOI CMOS processesGehring, A. / Mowry, A. / Wei, A. / Wiatr, M. / Boschke, R. / Javorka, P. / Mulfinger, B. / Scott, C. / Lenski, M. / Koerner, G. et al. | 2007
- 1
-
Efficient simulation of hole transport in strained Si and SiGe on insulator inversion layersPham, A. T. / Jungemann, C. / Klawitter, M. / Menerzhagen, B. et al. | 2007
- 1
-
Plasma wave FET for sub-wavelength THz imagingVeksler, D. B. / Muraviev, A. V. / Elkhatib, T. A. / Salama, K. N. / Shur, M. S. et al. | 2007
- 1
-
Thin film microstructure of solution processable pyrene-based small molecules for electronic applicationsLucas, Leah A. / DeLongchamp, Dean M. / Richter, Lee J. / Kline, R. Joseph / Fischer, Daniel A. / Kaafarani, Bilal R. / Jabbour, Ghassan E. et al. | 2007
- 1
-
Stress and morphology evolution during the heteroepitaxial growth of group III-nitridesRedwing, J.M. / Acord, J.D. / Manning, I. / Raghavan, S. / Weng, X. / Dickey, E.C. / Snyder, D.W. et al. | 2007
- 1
-
Light emitting diodes on glass and silicon substrates fabricated using novel low temperature hydrogenation-assisted nano-crystallization of silicon thin filmsFallah-Azad, B. / Abdi, Y. / Mohajerzadeh, S. / Jamei, M. / Hashemi, P. / Robertson, M.D. et al. | 2007
- 1
-
Carbon nanotubes for high performance flexible electronicsRogers, John A. et al. | 2007
- 1
-
Ge/Si hetero-nanocrystal MOSFET memoriesJianlin Liu, / Bei Li, et al. | 2007
- 511
-
New impact ionization current model applicable to both bulk and SOI MOSFETs by considering self-lattice-heatingWei, Chengqing / Zhou, Xing / See, Guan-Huei et al. | 2007
- 544
-
Modeling and characterization of soft breakdown phenomena in MOS devices with ultrathin high-k gate dielectricShahil, K.M.F. / Arafat, K.M.N. / Khosru, Q.D.M. / Khan, M.R. et al. | 2007
- 643
-
Two-dimensional simulation and characterization of deep-submicron AlGaN/GaN HEMTs for high frequency applicationsGangwani, P. / Kaur, R. / Pandey, S. / Haldar, S. / Gupta, M. / Gupta, R.S. et al. | 2007
- i
-
Welcome| 2007
- ii
-
ISDRS 2007 Committees| 2007
- iii
-
Aldert vad der Ziel award| 2007
- iv
-
Technical session schedule| 2007
- v
-
2007 International semiconductor device research symposium| 2007
- vi
-
Table of contents| 2007