ZnO pyroelectric thin film field-effect transistors (English)
- New search for: Mourey, Devin A.
- New search for: Zhao, Dalong A.
- New search for: Jurchescu, Oana D.
- New search for: Li, Yuanyuan V.
- New search for: Fok, Raymond
- New search for: Gundlach, David J.
- New search for: Jackson, Thomas N.
- New search for: Mourey, Devin A.
- New search for: Zhao, Dalong A.
- New search for: Jurchescu, Oana D.
- New search for: Li, Yuanyuan V.
- New search for: Fok, Raymond
- New search for: Gundlach, David J.
- New search for: Jackson, Thomas N.
In:
2009 International Semiconductor Device Research Symposium
;
1-2
;
2009
- Conference paper / Electronic Resource
-
Title:ZnO pyroelectric thin film field-effect transistors
-
Contributors:Mourey, Devin A. ( author ) / Zhao, Dalong A. ( author ) / Jurchescu, Oana D. ( author ) / Li, Yuanyuan V. ( author ) / Fok, Raymond ( author ) / Gundlach, David J. ( author ) / Jackson, Thomas N. ( author )
-
Published in:
-
Publisher:
- New search for: IEEE
-
Publication date:2009-12-01
-
Size:219102 byte
-
ISBN:
-
DOI:
-
Type of media:Conference paper
-
Type of material:Electronic Resource
-
Language:English
-
Source:
Table of contents conference proceedings
The tables of contents are generated automatically and are based on the data records of the individual contributions available in the index of the TIB portal. The display of the Tables of Contents may therefore be incomplete.
- 1
-
The benefits and current progress of SiC SGTOs for pulse power applicationsOgunniyi, Aderinto / O'Brien, Heather / Lelis, Aivars / Scozzie, Charles / Shaheen, William / Agarwal, Anant / Zhang, Jon / Callanan, Robert / Temple, Victor et al. | 2009
- 1
-
Modeling of floating gate AlGaN/GaN heterostructure-transistor based sensorEliza, Sazia A. / Islam, Syed K. / Lee, Ida / Greenbaum, Elias / Tulip, Fahmida Shaheen et al. | 2009
- 1
-
Optimum body thickness of (111)-oriented ultra-thin body double-gate MOSFETs with respect to quantum-calculated phonon-limited mobilityPoljak, Mirko / Jovanovic, Vladimir / Suligoj, Tomislav et al. | 2009
- 1
-
A design of 1T memory cells using channel traps for long data retention timeChen, Y.-T. / Huang, C.-F. / Sun, H.-C. / Wu, T.-Y. / Ku, C.-Y. / Liu, C. W. / Hsu, Y.-C. / Chen, J.-S. et al. | 2009
- 1
-
Tailored ION/IOFF ratio of nanotube network transistors by pulsed breakdownEstrada, David / Miguel, Aidee San / Pecora, Ryan / Pop, Eric et al. | 2009
- 1
-
A nano-scale molecular rotor device for high density memory applicationXue, Mei / Kabehie, Sanaz / Stieg, Adam / Tkatchouk, Ekaterina / Benitez, Diego / Goddard, William / Zink, Jeffrey I. / Wang, K. L. et al. | 2009
- 1
-
Nanoscale depth-resolved electronic properties of SiO2/SiOx/SiO2 gate dielectrics for radiation-tolerant electronicsKatz, E. J. / Zhang, Z. / Hughes, H. L. / Chung, K.-B. / Lucovsky, G. / Brillson, L. J. et al. | 2009
- 1
-
Getting the most from SiC MOSFETs: Optimizing conduction and switching losses for high performance power electronics applicationsMatocha, Kevin / Losee, Pete / Glaser, John / Nasadoski, Jeff / Arthur, Steve / Stevanovic, Ljubisa et al. | 2009
- 1
-
Role of fin thickness on ballistic transport in nanoscale FinFETsIslam, Raisul / Amin, Emran Md. / Baten, Md. Zunaid / Khosru, Quazi D. M. et al. | 2009
- 1
-
Characteristics of InAs quantum dot superluminescent diodes utilizing trench structuresYoo, Young Chae / Han, Il Ki / Lee, Jung Il / Lee, Joo In / Kim, Eun Kyu et al. | 2009
- 1
-
AlInN/ GaN heterostructure field-effect transistorsYang, Jinwey / Hu, Xuhong / Deng, Jianyu / Gaska, Remis / Shur, Michael / Simin, Grigory et al. | 2009
- 1
-
A modeling of the retention characteristics of non-volatile memories embedded with metallic nanoparticlesBeniakar, M. / Sargentis, Ch. / Xanthakis, J. P. / Anastassopoulos, A. / Kladas, A. / Tsamakis, D et al. | 2009
- 1
-
Reliable procedure for electrical characterization of MOS-based devicesDobes, J. / Panko, V. / Pospisil, L. et al. | 2009
- 1
-
Si nanowire MOSFET with gate-all-around electrodeNdoye, C. / Liu, T. / Meeham, K. / Orlowski, M. / Gu, D. / Tran, N.H. / Baumgart, H. et al. | 2009
- 1
-
Synthesis and assembly of ZnO nanorods grown by ALD for biosensor applicationBajpai, R. / Zaghloul, M. / Gu, D. / Baumgart, H. / Abdel-Fattah, T. M. et al. | 2009
- 1
-
A high efficient, low power, and compact charge pump by vertical MOSFET'sSakui, Koji / Endoh, Tetsuo et al. | 2009
- 1
-
ZnO pyroelectric thin film field-effect transistorsMourey, Devin A. / Zhao, Dalong A. / Jurchescu, Oana D. / Li, Yuanyuan V. / Fok, Raymond / Gundlach, David J. / Jackson, Thomas N. et al. | 2009
- 1
-
Correlation between high-density trap states and local stress near SOI/BOX interface in SIMOX wafersNakajima, Yoshikata / Watanabe, Yukitoshi / Hanajiri, Tatsuro / Toyabe, Toru / Sugano, Takuo et al. | 2009
- 1
-
Analytical modeling of the gate tunneling leakage for the determination of adequate high-K dielectrics in 22 nm double-gate SOI MOSFETsDarbandy, Ghader / Ritzenthaler, Romain / Lime, Francois / Garduno, S. I / Estrada, M. / Cerdeira, A / Iniguez, B. et al. | 2009
- 1
-
Fatigue behavior and effect of crack propagation in lead free solder in microelectronic packagingParadee, Gary / Christou, Aris et al. | 2009
- 1
-
Modeling the small signal characteristics of an ALD Al2O3 insulated-gate AlN/GaN high electron mobility transistorDeen, David A. / Champlain, James G. / Storm, David F. / Meyer, David J. / Binari, Steven C. / Eddy, Charles R. / Bass, Robert et al. | 2009
- 1
-
On the effect of PbO and PbO2 content on microwave behaviour of PZT-derived MMIC capacitorsSulaiman, Suhana / Bakar, Raudah Abu / Awang, Zaiki et al. | 2009
- 1
-
Si implant-assisted ohmic contacts to GaNNguyen, Cuong / Shah, Pankaj / Leong, Edward / Derenge, Michael / Jones, Kenneth et al. | 2009
- 1
-
Investigation of SILC via energy resolved spin dependent tunneling spectroscopyRyan, J. T. / Lenahan, P. M. / Krishnan, A. / Krishnan, S. et al. | 2009
- 1
-
High-temperature modeling of AlGaN/GaN HEMTsVitanov, Stanislav / Palankovski, Vassil / Maroldt, Stephan / Quay, Rudiger et al. | 2009
- 1
-
Pt-induced high density PtSi nanocrystals and their application in nonvolatile memoryLi, Bei / Ren, Jingjian / Liu, Jianlin et al. | 2009
- 1
-
Analytical model of source injection for N-type enhancement mode GaN-based Schottky source/drain MOSFETPark, Jaehoon / Ozbek, Ayse M. / Ma, Lei / Veety, Matthew T. / Morgensen, Michael P. / Barlage, Douglas W. / Wheeler, Virginia D. / Johnson, Mark A L et al. | 2009
- 1
-
Effect of pre-cleaning on the formation of low resistance Ni-silicide from atomic layer deposited nickel filmHa, Jong-Bong / Kang, Hee-Sung / Lee, Sung-Gil / Lee, Jung-Hee et al. | 2009
- 1
-
C-V characterization of triple gate (TG) and double gate (DG) silicon on insulator (SOI) FinFETs using self-consistent method: A comparative studyBaten, Md. Zunaid / Islam, Raisul / Amin, Emran Md. / Khosru, Quazi D. M. et al. | 2009
- 1
-
Novel ZnO Bio-imaging systemUrban, Benny Ewell / Fujita, Yasuhisa / Neogi, Arup et al. | 2009
- 1
-
Quaternary AlInGaN photodetectors with MIS structureHung, H. / Chang, S. J. / Young, S. J. / Lin, Y. C. / Wang, S. M. / Chen, C. H. et al. | 2009
- 1
-
Implementation of e-beam proximity effect correction using linear programming techniques for the fabrication of asymmetric bow-tie antennasYesilkoy, Filiz / Peckerar, Martin et al. | 2009
- 1
-
Reliability measurement of single axis capacitive accelerometers employing mechanical, thermal and acoustic stressesZaiyadi, Nazman / Mohd.-Yasin, Faisal / Nagel, David. J. / Korman, Can E. et al. | 2009
- 1
-
ZnO nanobridge devices fabricated on carbonized photoresistPelatt, B. / Huang, C. C. / Conley, J. F. et al. | 2009
- 1
-
Modifying electron transfer at the silicon-molecule interface using atomic tethersHacker, Christina A. et al. | 2009
- 1
-
Improved morphology and bias stress study of a naphthalenetetracarboxylic diimide bottom contact field effect transistorSun, Jia / Devine, Rod / Dhar, Bal M. / Jung, Byung Jun. / See, Kevin S. / Katz, Howard E. et al. | 2009
- 1
-
Time- resolved photoluminescence studies of Al0.72Ga0.28N films with incommensurate chemical orderingWraback, M. / Garrett, G. A. / Shen, H. / Bhattacharyya, A. / Moustakas, T. D. et al. | 2009
- 1
-
Effects of fluid media on ultra-thin SOI based pH sensorsFernandes, P. G. / Seitz, O. / Chapman, R. A. / Stiegler, H. J. / Wen, H. C. / Chabal, Y. J. / Vogel, E. M. et al. | 2009
- 1
-
Electrodeposited Ni/Ge contacts for limiting leakage currents in Schottky barrier MOSFETsHusain, M. K. / Li, X. V. / de Groot, C. H. et al. | 2009
- 1
-
SiGe lattice-mismatched epitaxial heterostructures: Types and density of crystalline defects related to epitaxial growth conditionsFaleev, Nikolai / Sustersic, Nathan / Bhargava, Nupur / Coppinger, Matthew / Kolodzey, James et al. | 2009
- 1
-
Surface-potential-based compact model of dynamically depleted SOI MOSFETsYao, W / Wu, W. / Gildenblat, G. et al. | 2009
- 1
-
Investigation of strain relaxation in patterned strained silicon-on-insulator structures by Raman spectroscopy and computer simulationGu, D. / Naumann, F. / Petzold, M. / Zhu, M. / Baumgart, H. et al. | 2009
- 1
-
Epitaxial piezoelectric thin films on flexible substrates for microelectromechanical systemsGibbons, B. J. / Cann, D. P. / Shelton, C. T. et al. | 2009
- 1
-
Quantum-dot Cellular Automata Counter with start/stop and reset inputsBashiri, Fereshteh Sadat / Tavassoli, Hesam / Faez, Rahim / Shooraki, Saeed Bagheri et al. | 2009
- 1
-
Resistive switching mechanisms of High-κ Gd2O3 films in a Cu (IrOx)/Gd2O3/W structureDas, Atanu / Maikap, S. / Chang, L.B. et al. | 2009
- 1
-
Femtosecond laser annealing effects on indium oxide nanowire transistorsKim, Seongmin / Kim, Sunkook / Lee, Chunghun / Srisungsitthisunti, Pornsak / Chen, Pochiang / Zhou, Chongwu / Xu, Xianfan / Qi, Minghao / Mohammadi, Saeed / Ju, Sanghyun et al. | 2009
- 1
-
Structural and spectroscopic studies of InGaN/GaN quantum structures implanted with rare earth ionsJadwisienczak, W. M. / Ebdah, M. A. / Wang, J. / Kordesch, M. E. / Anders, A. et al. | 2009
- 1
-
Flexible temperature sensor array of PDMS-encapsulated conductive CNT thin films fabricated by solution processKwon, Minkyu / Hong, Yongtaek et al. | 2009
- 1
-
Relating microstructure to transport in organic semiconductor transistorsSalleo, Alberto / Jimison, Leslie H. / Rivnay, Jonathan / Goris, Ludwig J. / Toney, Michael F. / Facchetti, Antonio / Marks, Tobin J. / McCulloch, Iain / Heeney, Martin et al. | 2009
- 1
-
Design, implementation, and measurement of planar microstrip antenna sub-arrayBadr, K.H. et al. | 2009
- 1
-
A highly integrated high-voltage bi-directional switchSolomon, Adane / Castellazzi, Alberto / Hoyland, Ruth / Agyakwa, Pearl / Li, Jianfeng / Johnson, C Mark et al. | 2009
- 1
-
Discrete impurity and mobility in drift-diffusion simulations for device characteristics variabilityKarasawa, Takahiko / Nakanishi, Kohei / Sano, Nobuyuki et al. | 2009
- 1
-
Charge transport in InN nanowires investigated by scanning probe microscopyLiu, Jie / Cai, Zhihua / Quddus, Ehtesham / Koley, Goutam et al. | 2009
- 1
-
Differential capacitive accelerometer fabricated with PolyMUMP's technology: Design and characterizationRincon, R. I. / Ambrosio, R. / Mireles, J. / Jimenez, A. et al. | 2009
- 1
-
Improvement in the light output power of GaN-based light emitting diodes by one-step current blocking designTsai, C. F. / Su, Y. K. / Lin, C. L. et al. | 2009
- 1
-
The ITRS metrology roadmapDiebold, Alain C. et al. | 2009
- 1
-
Investigating the BJT-like behavior of MOSFETs in ultra-deep-submicron CMOS technologies with significant gate currentBohannon, E. / Washburn, C. / Mukund, P.R. et al. | 2009
- 1
-
InGaN/GaN metal-semiconductor-metal photodetectors with hafnium oxide cap layerWang, S. M. / Chen, T. P. / Chang, S. J. / Chang, P. C. / Young, S. J. / Hung, H. / Lin, M. H. et al. | 2009
- 1
-
Fabrication of a thin film asymmetric tunneling diode using geometric field enhancementChoi, Kwangsik / Dagenais, Mario / Peckerar, Martin M. et al. | 2009
- 1
-
On the accuracy of current TCAD hot carrier injection models for the simulation of degradation phenomena in nanoscale devicesZaka, A. / Rafhay, Q. / Palestri, P. / Clerc, R. / Rideau, D. / Selmi, L. / Tavernier, C. / Jaouen, H. et al. | 2009
- 1
-
Investigation of vertical scaling on breakdown voltage and presentation of analytical model for electric field distribution in SOI RESURF LDMOSFETsSharbati, Samaneh / Fathipour, Morteza et al. | 2009
- 1
-
Plasma transport in graphene conduction channels and application for the detection of Terahertz signalsRudin, Sergey et al. | 2009
- 1
-
Fermi level depinning of Ge Schottky contacts using single crystalline MgOZhou, Yi / Han, Wei / Wang, Yong / Xiu, Faxian / Zou, Jin / Kawakami, R. K. / Wang, K. L. et al. | 2009
- 1
-
Organic field-effect transistor sensors with dual responses to dinitrotolueneDawidczyk, Thomas J. / Huang, Jia / Sun, Jia / Shastry, Tejas / Mason, Andrew / Katz, Howard E. et al. | 2009
- 1
-
Deep reactive ion etching to realize silicon nano and micro needles and nanostructuresSanaee, Z. / Mehran, M. / Mohajerzadeh, S. / Araghchini, M. et al. | 2009
- 1
-
Electrical characteristics and mechanical limitation of polycrystalline silicon thin film transistor on steel foil under strainKuo, Po-Chin / Jamshidi-Roudbari, Abbas / Hatalis, Miltiadis et al. | 2009
- 1
-
A design methodology for maximizing the voltage gain of strained Si MOSFETs using the thickness of the silicon-germanium strain relaxed buffer as a design parameterAlatise, Olayiwola / Kwa, Kelvin / Olsen, Sarah / O'Neill, Anthony et al. | 2009
- 1
-
Study of ultra-scaled SiGe/Si core/shell nanowire FETs for CMOS applicationsPaul, Abhijeet / Mehrotra, Saumitra / Luisier, Mathieu / Klimeck, Gerhard et al. | 2009
- 1
-
Carrier type conversion in carbon nanotube field-effect transistors caused by interface fixed chargesOhno, Y. / Moriyama, N. / Kitamura, T. / Suzuki, K. / Kishimoto, S. / Mizutani, T. et al. | 2009
- 1
-
Optimization of a saddle-like FinFET by device simulation for sub-50nm DRAM applicationChang, H.-C. / Kuo, P.-S. / Peng, C.-Y. / Chen, Y.-T. / Chen, W.-Y. / Liu, C. W. et al. | 2009
- 1
-
Inverse subthreshold slope of zero-Schottky-barrier torsional strained single- and double-walled carbon nanotube transistorsWahab, Md. Abdul / Khosru, Quazi D. M. et al. | 2009
- 1
-
A case study: Reliability of smartcard applications and implementation in university environment, MalaysiaKassim, M. / Yahya, S. et al. | 2009
- 1
-
Laser-plasma acceleration of mono-energetic protons: Simulations of an energetic proton source for cancer therapyLiu, Tung-Chang / Liu, Chuan / Shao, Xi / Eliasson, Bengt / Dudnikova, Galina / Sagdeev, Roald / Sharma, Surja et al. | 2009
- 1
-
Forward bias analysis of the substrate current for a SOI DTMOSPerez, A. Jimenez / De la Hidalga-W, F. J. et al. | 2009
- 1
-
Design and analysis of In0.53Ga0.47As/InP symmetric gain optoelectronic mixersZhang, Wang / Emanetoglu, Nuri W. / Bambha, Neal / Bickford, Justin R. et al. | 2009
- 1
-
Surrounding gate MOSFETs S/D design for 28 nm technologyLim, Towoo / Jang, Junyong / Kim, Youngmin et al. | 2009
- 1
-
Fabrication and characterization of buried-gate fin and recess channel MOSFET for high performance and low GIDL currentSong, Jae Young / Kim, Jong Pil / Kim, Sang Wan / Oh, Jeong-Hoon / Ryoo, Kyung-Chang / Sun, Min-Chul / Kim, Garam / Kim, Hyun Woo / Chang, Jisoo / Jung, Sunghun et al. | 2009
- 1
-
Large area deposition of graphene thin films by Langmuir-Blodgett assembly and their optoelectronic propertiesKim, HoKwon / Mattevi, Cecilia / Eda, Goki / Yamaguchi, Hisato / Kim, Hyun Jun / Riman, Richard E. / Chhowalla, Manish et al. | 2009
- 1
-
Horizontally grown ZnO nanowires and their application in addressable arrays of one-dimensional p-n heterojunctionsNikoobakht, Babak / Herzing, Andrew et al. | 2009
- 1
-
Modeling GaN HEMTs using thermal particle-based device simulatorPadmanabhan, Balaji / Ashok, Ashwin / Vasileska, Dragica / Goodnick, Stephen M. et al. | 2009
- 1
-
4H-SiC LJFET-based power IC using depletion loadSu, Ming / Sheng, Kuang / Zhao, Jian H. / Li, Xueqing et al. | 2009
- 1
-
Sensitivity analysis of magnetic field sensors utilizing spin-dependent recombination in silicon diodesJander, Albrecht / Dhagat, Pallavi et al. | 2009
- 1
-
Effect of dopant profile on current-voltage characteristics of p+n+ In0.53Ga0.47As tunnel junctionsKabeer, Sajid / Vasen, Tim / Wheeler, Dane / Zhang, Qin / Koswatta, Siyu / Zhu, Haijun / Clark, Kevin / Kuo, Jenn-Ming / Kao, Yung-Chung / Corcoran, Sean et al. | 2009
- 1
-
Foot motion characterization using smart fabricsCastano, L.M. / Flatau, A.B. et al. | 2009
- 1
-
Nitridation of the 4H-SiC/Oxide interface via NO anneal and plasma injectionZhu, Xingguang / Lee, Hang Dong / Feng, Tian / Rozen, John / Ahyi, Ayayi C. / Chen, Zengjun / Li, Minyu / Issac-Smith, Tamara / Williams, John. R. / Gustafsson, Torgny et al. | 2009
- 1
-
High-efficiency photovoltaic energy conversion using surface acoustic waves in piezoelectric semiconductorsYakovenko, Victor M. et al. | 2009
- 1
-
Amorphous semiconductor blocking contacts on CdZnTe gamma detectorsConway, Adam M / Sturm, Benjamin W. / Voss, Lars F. / Beck, Patrick R. / Graff, Robert T. / Nikolic, Rebecca J. / Nelson, Art J. / Payne, Steven A. et al. | 2009
- 1
-
Subband parameters in strained (110) silicon films from the Hensel-Hasegawa-Nakayama model of the conduction bandSverdlov, Viktor / Baumgartner, Oskar / Selberherr, Siegfried et al. | 2009
- 1
-
Leakage current characteristics by ion-bombardment physical damage during pulse-time modulated plasma processChoi, Sei-Ryung / Kim, Dong-Hwan / Kim, Beom-Jun / Song, Joon-Tae et al. | 2009
- 1
-
Low energy electronics: DARPA portfolio overviewFritze, Michael et al. | 2009
- 1
-
Quantum transport in patterned graphene nanoribbonsLian, Chuanxin / Tahy, Kristof / Fang, Tian / Li, Guowang / Xing, Huili Grace / Jena, Debdeep et al. | 2009
- 1
-
Ballistic mobility degradation in scaled-down channel of a MOSFETRiyadi, Munawar / Pollard, Christopher / Arora, Vijay K. et al. | 2009
- 1
-
On the origin of leakage reverse current in SiC diodesObreja, Vasile V. N. / Avram, Marioara et al. | 2009
- 1
-
From micro to nano FinFETs: The impact of channel-shape on analog parametersBuhler, R. T. / Giacomini, R. / Pavanello, M. A. / Martino, J. A. et al. | 2009
- 1
-
High performance IGZO TFTs on steel: Device stability and circuit integrationKhan, Shahrukh A. / Hatalis, Miltiadis et al. | 2009
- 1
-
Characterization of nanometer scale compositionally inhomogeneous AlGaN active regions on bulk AlN substratesSampath, Anand. V. / Garrett, Gregory G. / Readinger, Eric D. / Shen, H. / Wraback, Michael / Grandusky, James R. / Schowalter, Leo J. et al. | 2009
- 1
-
Characterization of 1/f noise in scaled high-K NMOS transistors and SONOS nonvolatile semiconductor memory (NVSM) devicesZhang, Xiaochen / Liyanage, Luckshitha S. / Eichenlaub, Nathan / White, Marvin H. et al. | 2009
- 1
-
A new hopping model for transport in chalcogenide glassesRudan, Massimo / Giovanardi, Fabio / Piccinini, Enrico / Buscemr, Fabrizio / Brunetti, Rossella / Jacoboni, Carlo et al. | 2009
- 1
-
Surface plasmon polariton enhanced fluorescence from quantum dots on nanostructured metal surfacesHwang, Ehren / Smolyaninov, Igor I. / Davis, Christopher C. et al. | 2009
- 1
-
Effect of current injection efficiency on efficiency-droop in InGaN quantum well light-emitting diodesZhao, Hongping / Liu, Guangyu / Arif, Ronald A. / Tansu, Nelson et al. | 2009
- 1
-
Scaling of the SOI field effect diode (FED) for memory applicationYang, Yang / Gangopadhyay, Aveek / Li, Qiliang / Ioannou, Dimitris E. et al. | 2009
- 1
-
Numerical simulation of 4H-SiC deep and vacuum UV photodetectorsBolotnikov, Alexander / Soloviev, Stanislav / Vert, Alexey / Rowland, Larry / Sandvik, Peter et al. | 2009
- 1
-
High temperature characteristics of ZnO-based MOS-FETs with photochemical vapor deposition SiO2 gate oxideYoung, S. J. / Chang, S. J. / Ji, L. W. / Hung, H. / Wang, S. M. / Liu, K. W. / Chen, K. J. / Hu, Z. S. et al. | 2009
- 1
-
Mapping grain orientation of high mobility thienothiophene copolymer thin films by transmission electron microscopy and image analysisZhang, Xinran / Hudson, Steven D. / DeLongchamp, Dean M. / Gundlach, David J. et al. | 2009
- 1
-
Enhancement of erase speed using silicide drain in nanowire SONOS NAND flash memoryKim, Wandong / Park, Il Han / Cho, Seongjae / Li, Dong Hua / Yun, Jang-Gn / Park, Byung-Gook et al. | 2009
- 1
-
Simulation study of device physics issues in III–V MOSFETs at 10 nm nodeLiu, Yang / Wang, Xufeng / Luisier, Mathieu / Lundstrom, Mark S. et al. | 2009
- 1
-
Performance analysis of nonvolatile gate-all-around charge-trapping TAHOS memory cellsGnani, E. / Gnudi, A. / Reggiani, S. / Baccarani, G. / Fu, J. / Singh, N. / Lo, G.Q. / Kwong, D.L. et al. | 2009
- 1
-
Physical model and mesh-size dependence in drift-diffusion simulations for single-event effects by heavy ionsShibano, Nozomi / Sano, Nobuyuki / Tosaka, Yoshiharu / Furuta, Hiroshi / Tsutsui, Masafumi / Imamura, Takeshi et al. | 2009
- 1
-
Deep micromachining of poly-ethylene terephthalate for plastic MEMS applicationsPajouhi, H. / Mohajerzadeh, S. / Nayeri, F. / Parsinejad, F. / Sanaee, Z. et al. | 2009
- 1
-
Point and extended defects in the bulk and at the interface of GaN with metalsBatyrev, Iskander G / Jones, Kenneth A / Shah, Pankaj B. / Zheleva, Tsvetanka S. et al. | 2009
- 1
-
Life-stress relationship for thin film transistor gate line interconnects on flexible substratesMartin, Thomas / Christou, Aris et al. | 2009
- 1
-
Plasma doping and spike annealing technique for steep SDE formation in nano-scale MOSFETMiyata, T. / Oshima, Y. / Hokazono, A. / Adachi, K. / Miyano, K. / Tsujii, H. / Kawanaka, S. / Inaba, S. / Itani, T. / Iinuma, T. et al. | 2009
- 1
-
Effect of high pressure hydrogen annealing on silicon nanowire MOSFET devices with multi-channel wiresKim, Seonghyun / Jo, Minseok / Jung, Seungjae / Choi, Hyejung / Lee, Joonmyoung / Chang, Man / Cho, Chunhum / Hwang, Hyunsang et al. | 2009
- 1
-
Leakage current quenching and lifetime enhancement in 3D pillar structured silicon PIN diodesShao, Q. / Conway, A. M. / Voss, L. F. / Heineck, D. P. / Reinhardt, C. E. / Graff, R. T. / Nikolic, R. J. et al. | 2009
- 1
-
Facile pyrolytic synthesis of silicon nanowiresPattison, James W. / Chan, Joo C. / Tran, Hoang / Rananavare, Shankar B. et al. | 2009
- 1
-
Simulation of electronic transport in single nanobelt tin dioxide gas sensorsAndrei, P. / Fields, L. L. / Zheng, J. P. / Cheng, Y. / Xiong, P. et al. | 2009
- 1
-
Polynomial approximation for the computation of electron concentration in semiconducting carbon nanotubesAndrei, Petru et al. | 2009
- 1
-
20GHz low power CMOS single chip receiver using resonant transformer techniques for enhanced demodulation efficiencyLi, Bo / Yang, Bo / Salter, Thomas / Zhai, Yiming / Goldsman, Neil et al. | 2009
- 1
-
Fabrication technique for arrays of Germanium-on-Nothing nanowiresThomas, P. M. / Pawlik, D. J. / Romanczyk, B. / Freeman, E. / Rommel, S. L. / Kurinec, S. K. / Cheng, Z. / Li, J. / Park, J. S. / Hydrick, J. M. et al. | 2009
- 1
-
Design of urea biosensor with reference systems for readout electronicsChang, Chih-Tien / Chang, Kow-Ming / Chan, Kun-Mou et al. | 2009
- 1
-
Normally-off operation of recess-gated GaN MOSFET on silicon substrate using AlGaN/GaN source/drain with high 2DEG densityIm, Ki-Sik / Ha, Jong-Bong / Lee, Jong-Sub / Kim, Sung-Nam / Kim, Ki-Won / Kim, Dong-Seok / Kang, Hee-Sung / Kwak, Eun-Hwan / Lee, Sung-Gil / Lee, Jung-Hee et al. | 2009
- 1
-
Two-dimensional model for the potential profile in a short channel Schottky barrier DG-FETSchwarz, Mike / Weidemann, Michaela / Kloes, Alexander / Iniguez, Benjamin et al. | 2009
- 1
-
Low field mobility in AlGaN/InGaN MOS-HFETs from cold-FET measurementsDandu, K. / Morgensen, M. / Saripalli, Y. / Barlage, D.W. / Johnson, M.A.L. / Braddock, D. et al. | 2009
- 1
-
Spray deposited poly-3-hexylthiophene thin film transistorsChan, Calvin K. / Richter, Lee J. / Germack, David S. / Conrad, Brad R. / Fischer, Daniel A. / DeLongchamp, Dean M. / Gundlach, David J. et al. | 2009
- 1
-
Novel high-κ Ta2O5 resistive switching memory using IrOx metal electrodeLin, C. I. / Prakash, A. / Maikap, S. et al. | 2009
- 1
-
Field modulation in heavily-doped thin-body p+InGaAs for tunnel FETsZhou, Guangle / Kabeer, Sajid / Wheeler, Dane / Seabaugh, Alan / Xing, Huili et al. | 2009
- 1
-
Effect of random surface charge distribution on transport in 4H-SiC MOSFETsPotbhare, Siddharth / Goldsman, Neil / Akturk, Akin / Lelis, Aivars et al. | 2009
- 1
-
Formation of Ruthenium nanocrystals buried in HfO2 matrix for nonvolatile memory applicationsLiu, Hai / Ferrer, Domingo / Banerjee, Sanjay K. et al. | 2009
- 1
-
Cubic silicon carbide avalanche photodiodesRowland, Larry B. / Wyatt, J. L. / Bishop, S. M. et al. | 2009
- 1
-
Science underpinning the quality of transfer printingLi, Teng / Tucker, Matthew B. et al. | 2009
- 1
-
Design of ADCs and DACs using 3-state quantum DOT gate FETsKarmakar, Supriya / Suresh, Anjana P. / Chandy, John A. / Jain, Faquir C. et al. | 2009
- 1
-
Sulfur implant for reducing nickel silicide contact resistance in FinFETs with silicon-carbon source/drainKoh, Shao-Ming / Sinha, Mantavya / Tong, Yi / Chin, Hock-Chun / Fang, Wei-Wei / Zhang, Xingui / Ng, Chee-Mang / Samudra, Ganesh / Yeo, Yee-Chia et al. | 2009
- 1
-
Electrophoretic mobility and resultant zeta potential of an individual cell analyzed by electrophoretic coulter methodTakahashi, N. / Aki, A. / Ukai, T. / Nakajima, Y. / Maekawa, T. / Hanajiri, T. et al. | 2009
- 1
-
Proposal of heavily doped silicon between insulators MOSFETs and confirmation of their advantages by device simulationYamada, T. / Miyazawa, Y. / Nakajima, Y. / Hanajiri, T. / Toyabe, T. / Sugano, T. et al. | 2009
- 1
-
Cryogenic matching performance of 90 nm MOSFETsAppaswamy, Aravind / Chakraborty, Partha / Cressler, John D. et al. | 2009
- 1
-
Optimization of power AlGaN/GaN vertical HEMT devices with low on-state resistance and high breakdown voltageAndrei, Petru et al. | 2009
- 1
-
Optimization and characterization of RF MEMS inductors fabricated in PolyMUMPS technologyMoreno-Villarreal, M. G. / Jr.-Garcia, J. Mireles / Ambrosio-Lazaro, R. C. et al. | 2009
- 1
-
Novel geometry of carbon nanotube field emitter to achieve high current densitiesSilan, Jeremy L. / Niemann, Darrell L. / Ribaya, Bryan P. / Rahman, Mahmud / Meyyappan, M. / Nguyen, Cattien V. et al. | 2009
- 1
-
Anomalous back-bias dependence of threshold voltage variability in NMOSFETs due to high concentration regions near source and drainYamato, Ichiro / Mama, Tatsuya / Tsunomura, Takaaki / Nishida, Akio / Hiramoto, Toshiro et al. | 2009
- 1
-
Quantifying and enforcing two-dimensional symmetries in scanning probe microscopy images of periodic objectsMoeck, P. / Plachinda, P. / Moon, B. / Straton, J. / Rouvimov, S. / Toader, M. / Abdel-Hafiez, M. / Hietschold, M. et al. | 2009
- 1
-
Deeply degenerate p-type GaN grown by metal modulated epitaxyDoolittle, W. Alan / Moseley, Michael / Trybus, Elaissa et al. | 2009
- 1
-
Mobility measurements in Gd silicate/TiN SOI and sSOI n-MOSFETsSchmidt, M. / Gottlob, H. D.B. / Buca, D. / Mantl, S. / Kurz, H. et al. | 2009
- 1
-
A new vertical MOSFET "Vertical Logic Circuit (VLC) MOSFET" suppressing asymmetric characteristics and realizing an ultra compact and robust logic circuitSakui, Koji / Endoh, Tetsuo et al. | 2009
- 1
-
Sub-micron Esaki Tunnel Diode fabrication and characterizationPawlik, D.J. / Romanczyk, B. / Freeman, E. / Thomas, P.M. / Barth, M. / Rommel, S.L. / Cheng, Z. / Li, J. / Park, J.S. / Hydrick, J.M. et al. | 2009
- 1
-
Design of SOI FinFET on 32 nm technology node for low standby power (LSTP) operation considering gate-induced drain leakage (GIDL)Cho, Seongjae / Lee, Jung Hoon / O'uchi, Shinichi / Endo, Kazuhiko / Masahara, Meishoku / Park, Byung-Gook et al. | 2009
- 1
-
Advanced in Gallium Nitride materials and structures for power electronicsJohnson, Mark A.L. / Barlage, Doug W. et al. | 2009
- 1
-
Overview of FDSOI technology from substrate to deviceNguyen, Bich-Yen / Mazure, Carlos / Delprat, Daniel / Aulnette, Cecile / Daval, Nicolas / Andrieu, Francois / Faynot, Olivier et al. | 2009
- 1
-
Structural and electrical properties of InN nanowires grown by chemical vapor depositionQuddus, Ehtesham Bin / Cai, Zhihua / Koley, Goutam et al. | 2009
- 1
-
Performance boost for In0.53Ga0.47As channel N-MOSFET using silicon nitride liner stressor with high tensile stressChin, Hock-Chun / Gong, Xiao / Guo, Huaxin / Zhou, Qian / Koh, Shao-Ming / Lee, Hock Koon / Shi, Luping / Yeo, Yee-Chia et al. | 2009
- 1
-
Anisotropic drift diffusion model for 4H-, 6H-SiC devices simulationDonnarumma, Gesualdo / Wozny, Janusz / Lisik, Zbigniew et al. | 2009
- 1
-
Pyroelectric films synthesized by low-temperatures and laser-processed for uncooled infrared detector applicationsSarney, Wendy L. / Little, John W. / Niesz, Krisztian / Morse, Daniel E. / Livingston, Frank E. et al. | 2009
- 1
-
Retention test and electrical stress correlation to anticipate EEPROM tunnel oxide reliability issuesPlantier, J. / Aziza, H. / Portal, J. M. / Reliaud, C. / Regnier, A. / Ogier, J. L. et al. | 2009
- 1
-
Graphene nanoelectronicsSung, Chun-Yung et al. | 2009
- 1
-
Group-IV heteroepitaxial films for optoelectronic applicationsOehme, Corresponding Author M. / Werner, J. / Kasper, E. / Schulze, J. et al. | 2009
- 1
-
A low power 20GHz RF CMOS based phase-locked loopZhai, Yiming / Li, Bo / Yang, Bo / Goldsman, Neil et al. | 2009
- 1
-
Electrical characterization and gate stack optimization of nitride trapping NVSM devicesEichenlaub, Nathan / Barthol, Christopher / Liyanage, Luckshitha / Wang, Gan / White, Marvin H. et al. | 2009
- 1
-
Structural fingerprinting of nanocrystals in the transmission electron microscopeMoeck, P. / Rouvimov, S. et al. | 2009
- 1
-
Resistive switching characteristics of solution-processible TiOx using nano-scale via-hole structuresJung, Seungjae / Kim, Tae-Wook / Choi, Hyejung / Kong, Jaemin / Park, Ju-Bong / Jo, Minseok / Kim, Seonghyun / Lee, Wootae / Lee, Joonmyoung / Lee, Takhee et al. | 2009
- 1
-
Thickness-dependence of oxide-nitride-oxide erase property in SONOS flash memoryLiq, Dong Hua / Kinv, Wandong / Lee, Jung Hoon / Park, Byung-Gook et al. | 2009
- 1
-
Nanotube/nanorods fabrication of ZnO using atomic layer deposition and the template replication methodGu, D. / Tapily, K. / Shrestha, P. / Baumgart, H. et al. | 2009
- 1
-
Methane sensing properties of CuxO thin films deposited by pulsed laser depositionStamataki, M. / Georgiou, V. / Tsamakis, D. / Kompitsas, M. et al. | 2009
- 1
-
Modeling the threshold voltage of ultra-thin-body(UTB) long channel symmetric double-gate (DG) MOSFETsMedury, Aditya Sankar / Majumdar, Kausik / Bhat, Navakanta / Bhat, K. N. et al. | 2009
- 1
-
Crystal quality and conductivity type of epitaxial (002) ZnO films on (100) Si substrates for device applicationsSardari, Saeed Esmaili / Iliadis, Agis. A. / Stamataki, M. / Tsamakis, D. / Konofaos, N. et al. | 2009
- 1
-
Semiconductor-dielectric interfacial study using spectral-spatial photocurrent probes and 1/f noise probe in organic field effect transistorsJia, Zhang / Meric, Inanc / Shepard, Kenneth / Kymissis, Ioannis et al. | 2009
- 1
-
Self-consistent thermal and electrical analysis of silicon carbide power DMOSFET heating and coolingAkturk, A. / Potbhare, S. / Goldsman, N. / Lelis, A. et al. | 2009
- 1
-
Complementary oxide memristor technology facilitating both inhibitory and excitatory synapses for potential neuromorphic computing applicationsDoolittle, W. Alan / Calley, W. Laws / Henderson, Walter et al. | 2009
- 1
-
Understanding limits to conductivity of metal nanowiresDunham, Scott T. / Feldman, Baruch et al. | 2009
- 1
-
Enhanced photo responsivity in nanowire-based photodetectorsRoudsari, A. Fadavi / Saini, S. S. / O, N. / Anantram, M. P. et al. | 2009
- 1
-
First order, quasi-static MOSFET bulk charge model showing inconsistencies in the BSIM power dissipation modelSharma, Sameer / Johnson, Louis G. et al. | 2009
- 1
-
Thermal effect on optical characteristics of packaged edge emitting laser diode with compressively strained multi-quantum well structureHan, J.-H. / Lhee, Z. / Park, S.-W. et al. | 2009
- 1
-
Vertical gate RF SOI LIGBT without latch-up susceptibilityZhang, Haipeng / Su, Buchun / Sun, Lingling / Wang, Dejun et al. | 2009
- 1
-
Highly reconfigurable and error tolerant threshold logic gates based on nanoscale DG-MOSFETsKaya, Savas / Ting, Darwin T-Y / Hamed, Hesham F A et al. | 2009
- 1
-
RF power performance of submicron MESFET on hydrogen terminated polycrystalline diamondRossi, M. C. / Calvani, P. / Conte, G. / Camarchia, V. / Cappelluti, F. / Ghione, G. / Ciccognani, W. / Pasciuto, B. / Limiti, E. / Dominijanni, D. et al. | 2009
- 1
-
A new SiGe Stepped Gate (SSG) thin film SOI LDMOS for enhanced breakdown voltage and reduced delaySithanandam, Radhakrishnan / Kumar, M. Jagadesh et al. | 2009
- 1
-
A bio-inspired single-electron circuit exploiting noises to achieve high temporal fidelity based on the vestibulo-ocular reflex functionKikombo, Andrew Kilinga / Asai, Tetsuya / Amemiya, Yoshihito et al. | 2009
- 1
-
Characterization of traps in scaled NMOS transistors with ultra-thin high-K dielectrics and metal gate electrodesZhang, Xiaochen / Zhang, Yanli / White, Marvin H. et al. | 2009
- 1
-
Structural, electrical, and optical characterizations of a-plane InGaN/GaN quantum well structuresSong, Hooyoung / Kim, Jin Soak / Kim, Eun Kyu / Lee, Sung-Ho / Kim, Jae Bum / Son, Ji-Su / Hwang, Sung-Min et al. | 2009
- 1
-
Room-temperature TiOx oxide diode for 1D1R resistance-switching memoryHuang, Jiun-Jia / Lin, Guan-Liang / Kuo, Chih-Wei / Chang, Wei-Chen / Hou, Tuo-Hung et al. | 2009
- 1
-
Analysis of oxide bulk trapped charge distribution and densities from photo injection characteristics of oxide-nitride-oxide (ONO) structuresLee, Woong / Yoo, Dae-Han / Lee, Eun-Young / Bok, Jinkwon / Hyung, Youngwoo / Roh, Younghan et al. | 2009
- 1
-
Increasing response of semiconductor gas sensor by using preconcentration methodWang, Jing / Liu, Li / Qi, Jin-Qing / Yao, Peng-Jun / Zhang, Yu-Jia et al. | 2009
- 1
-
NO2 sensitivity of wide area SiC and epitaxial graphene on SiC substratesQazi, Muhammad / Nomani, Md. W. / Chandrashekhar, MVS / Koley, Goutam et al. | 2009
- 1
-
Effect of GaN buffer thickness on the electrical properties of RF-MBE grown AlGaN/GaN HEMTs on free-standing GaN substratesStorm, D. F. / Katzer, D. S. / Deen, D. A. / Bass, R. / Meyer, D. J. / Binari, S. C. / Paskova, T. / Preble, E. A. / Evans, K. R. et al. | 2009
- 1
-
The impact of structural parameters on the electrical characteristics of GAA Silicon nanowire transistorFathipour, M. / Karimi, F. / Hosseini, R. et al. | 2009
- 1
-
On the temporal behavior of dc and rf characteristics of InAs nanowire MISFETOtsuhata, Yutaka / Waho, Takao / Blekker, Kai / Prost, Werner / Tegude, Franz-Josef et al. | 2009
- 1
-
AC analysis of UMOSFET ACCUFETPeyvast, N. / Fathipour, M. et al. | 2009
- 1
-
3-State behavior in quantum dot gate FETsJain, Faquir / Karmakar, Supriya / Alamoody, Fuad / Suarez, Ernesto / Gogna, Mukesh / Chan, Pik-Yiu / Chandy, John / Miller, Barry / Heller, Evan et al. | 2009
- 1
-
Investigation of the device design challenges and optimization issues associated with complementary SiGe HBT scalingChakraborty, Partha S. / Moen, Kurt / Bellini, Marco / Cressler, John D. et al. | 2009
- 1
-
On-state current stress-induced subthreshold I–V instability in SiC DMOSFETsLelis, Aivars / Green, Ron / Habersat, Dan / Goldsman, Neil et al. | 2009
- 1
-
The impact of the breakdown of Ohm's law on switching delay due to reactive elements connected in series with a micro/nano-resistorArora, Vijay K. / bin Hashim, Abdul Manaf / Chek, Desmond / Saxena, Tanuj et al. | 2009
- 1
-
Structure and defects in multilayer CVD graphene on C-face 6H-SiCTwigg, Mark E. / Picard, Yoosuf N. / Tedesco, Joseph L. / Myers-Ward, Rachel L. / VanMil, Brenda L. / Eddy, Charles R. / Gaskill, D. Kurt et al. | 2009
- 1
-
Electrical characterization of Cr / p-ZnO Schottky contacts grown by pulsed laser deposition (PLD) on Si substrateStamataki, M. / Tsamakis, D. / Ali, H. A. / Esmaili-Sardari, S. / Iliadis, A. A. et al. | 2009
- 1
-
Effect of spacer layer on carrier accumulation in In0.05Ga0.95N/InN high electron mobility transistor incorporating quantum mechanical effectMuhtadi, Sakib M. / Hossain, S. M. Sajjad / Islam, Raisul / Mahmood, Z. H. / Bhuiyan, A. G. et al. | 2009
- 1
-
Operation regimes of double gated graphene nanoribbon FETsTahy, Kristof / Lian, Chuanxin / Xing, Huili / Jena, Debdeep et al. | 2009
- 1
-
Electrical characterization of soluble anthradithiophene derivativesConrad, Brad R. / Chan, Calvin K. / Loth, Marsha A. / Anthony, John E. / Gundlach, David J. et al. | 2009
- 1
-
Application of a novel test system to characterize single-event transients at cryogenic temperaturesRamachandran, Vishwanath / Gadlage, Matthew J. / Ahlbin, Jonathan R. / Alles, Michael L. / Reed, Robert A. / Bhuva, Bharat L. / Massengill, Lloyd W. / Black, Jeffrey D. / Foster, Christopher N. et al. | 2009
- 1
-
High-temperature characterization of 1200 V SiC DMOSFETsGreen, Ronald / Everhart, Lauren / Lelis, Avars et al. | 2009
- 1
-
A toggle MRAM bit modeled in Verilog-AEngelbrecht, Linda / Jander, Albrecht / Dhagat, Pallavi et al. | 2009
- 1
-
Backscattering coefficient in MOSFETs from an extended one-flux theoryTang, Ting-wei / Fischetti, Massimo V. / Jin, Seonghoon et al. | 2009
- 1
-
Electronics for the post-silicon CMOS era…challenges and opportunitiesGhosh, Avik / Brown, Charles L. et al. | 2009
- 1
-
Variation analysis of TiN FinFETsEndo, K. / Matsukawa, T. / Ishikawa, Y. / Liu, Y. X. / O'uchi, S. / Sakamoto, K. / Tsukada, J. / Yamauchi, H. / Masahara, M. et al. | 2009
- 1
-
Ultrafast nonlinear optical effects in semiconductor quantum wells resonantly driven by few-cycle Terahertz pulsesLee, Yun-Shik / Jameson, A. D. / Tomaino, J. L. / Prineas, J. P. / Steiner, J. T. / Kira, M. / Koch, S. W. et al. | 2009
- 1
-
A SiGe/Si multiple quantum well avalanche photodetectorSun, P.-H. / Wang, W.-C. / Chang, S. T. et al. | 2009
- 1
-
Simulation study for suppressing corner effect in a saddle MOSFET for Sub-50 nm high density high performance DRAM cell transistorPervez, Syed Atif / Kim, Heesang / Park, Byung-Gook / Shin, Hyungcheol et al. | 2009
- 1
-
Charge trapping and current conduction mechanisms of advanced metal-oxide-semiconductor capacitors with LaxTay dual-doped HfON dielectricsCheng, Chin-Lung / Horng, Jeng-Haur / Chang-Liao, Kuei-Shu / Jeng, Jin-Tsong / Tsai, Hung-Yung et al. | 2009
- 1
-
A versatile compact model for ballistic 1D transistor: Applications to GNRFET and CNTFETFregonese, Sebastien / Maneux, Cristell / Zimmer, Thomas et al. | 2009
- 1
-
Nitrogen incorporation by plasma immersion ion implantation on electrical characteristics of high-k gated MOS devices with SiGe channelFu, Chung-Hao / Chang-Liao, Kuei-Shu / Du, Li-Wei / Wang, Tien-Ko / Tsai, Wen-Fa / Ai, Chi-Fong et al. | 2009
- 1
-
Investigations on pre-oxidation nitrogen implantation for the improvement of channel mobility in 4H-SiC MOSFETsDhar, Sarit / Ryu, Sei-Hyung / Agarwal, Anant K. et al. | 2009
- 1
-
The economics of photovoltaic device technologiesZweibel, Ken et al. | 2009
- 1
-
Laser-crystallized polysilicon TFT adder cells on flexible metal foilJamshidi-Roudbari, Abbas / Kuo, Po-Chin / Hatalis, Miltiadis et al. | 2009
- 1
-
What triggers NBTI? An “on the fly” electron spin resonance approachRyan, J. T. / Lenahan, P. M. / Grasser, T. / Enichlmair, H. et al. | 2009
- 1
-
Antenna and low noise amplifier (LNA) co-designYang, Bo / Shao, Xi / Balzano, Quirino / Goldsman, Neil et al. | 2009
- 1
-
Investigation of the drain current shift in ZnO thin film transistorsAbdel-Motaleb, Ibrahim M. / Shetty, Neeraj / Leedy, Kevin / Cortez, Rebecca et al. | 2009
- 1
-
On the systematic analysis of ring-delay performance using statistical behavior modelLiang, Q. / Greene, B. / Han, S.-J. / Wang, Y. / Liang, Y. / Cai, M. / Yang, F. / Amarnath, K. / Johnson, J. / Nowak, E. et al. | 2009
- 1
-
Committee| 2009
- 1
-
Distributed numerical modeling of low temperature MOSFET operationAkturk, A. / Holloway, M. / Gundlach, D. / Potbhare, S. / Li, B. / Goldsman, N. / Peckerar, M. / Cheung, K. P. et al. | 2009
- 1
-
Design considerations for integration of horizontal current bipolar transistor (HCBT) with 0.18 μm bulk CMOS technologyKoricic, M. / Suligoj, T. / Mochizuki, H. / Morita, S. / Shinomura, K. / Imai, H. et al. | 2009
- 1
-
Effects of temperature on reverse short channel effect in pocket implanted sub-100 nm n-MOSFETBhuyan, Muhibul Haque / Khosru, Quazi D. M. et al. | 2009
- 1
-
STI stress effect on standby power leakage of 65nm low power 6T-SRAMHu, C. Y. / Chang, S. J. / Wang, S. M. et al. | 2009
- 1
-
High-mobility enhancement-mode 4H SiC lateral nMOSFETs with atomic layer deposited Al2O3 gate dielectricLichtenwalner, D. J. / Misra, V. / Dhar, S. / Ryu, S.-H. / Agarwal, A. et al. | 2009
- 1
-
Basal plane dislocation reduction in nitrogen doped 8° 4H-SiC epilayersWheeler, Virginia D. / VanMil, Brenda L. / Myers-Ward, Rachael L. / Eddy, Charles R. / Stahlbush, R. E. / Gaskill, D. Kurt et al. | 2009
- 1
-
ZnxCd1−xSe/ ZnyCd1−ySe quantum dot floating gate nonvolatile memoryAl-Amoody, F. / Suarez, E. / Rodriguez, A. / Heller, E. / Ayers, J. / Jain, F. et al. | 2009
- 1
-
Gate leakage effects of annealing Lanthanum Oxide on Gallium NitrideVeety, M. T. / Wheeler, V. D. / Lichtenwalner, D. J. / Johnson, M. A. L. / Barlage, D. W. et al. | 2009
- 1
-
Low leakage current technology in P+N silicon photodiode detectorPark, Myunghwan / Choi, Kwangsik / Singh, Satpal / Aslam, Shahid / Peckerar, Martin et al. | 2009
- 1
-
Theoretical predictions for carbon nanotube alignment by meniscus actionWood, J.D. / Nazareth, V. / Lyding, J.W. et al. | 2009
- 1
-
Novel SONOS-type nonvolatile memory with band engineered HfxAlyO charge trapping layer as floating gateLiu, Hai / Ferrer, Domingo / Tang, Shan / Banerjee, Sanjay K. et al. | 2009
- 1
-
High-k Yb2O3 thin films as a sensing membrane for pH-ISFET applicationPan, Tung-Ming / Chen, Fa-Hsyang / Lee, Cheng-Da / Chien, Yu-Kai / Ko, Ho-Ming et al. | 2009
- 1
-
Ultra-scaled AlN/GaN enhancement-& depletion-mode nanoribbon HEMTsGuo, Jia / Zimmermann, Tom / Jena, Debdeep / Xing, Huili et al. | 2009
- 1
-
Bias temperature instability in silicon carbideSchroder, Dieter K. et al. | 2009
- 1
-
High-field initiated ballistic transport in carbon nanotubesTan, Michael L. P. / Vidhi, Rachana / Saxena, Tanuj / Chek, Desmond C. Y. / Arora, Vijay K. et al. | 2009
- 1
-
Influence of polysilicon thickness on the microwave attenuation losses of the CPWs fabricated on polysilicon-passivated high-resistivity silicon substratesChen, Chao-Jung / Wang, Ruey-Lue / Su, Yan-Kuin / Huang, Chun-Yuan / Chen, Yung-Feng / Hung, Cheng-Yuan et al. | 2009
- 1
-
Electrical characteristics of EEPROM with stacked MIM and n-well capacitorKim, Nam-Soo / Cui, Zhi-Yuan / Jin, Hai-Feng et al. | 2009
- 1
-
On-chip integration of planar dipole antenna with AlGaAs/GaAs Schottky diode for RF power detectionMustafa, Farahiyah / Parimon, Norfarariyanti / Hashim, Abdul Manaf / Rahman, Shaharin Fadzli Abd / Osman, Mohd Nizam et al. | 2009
- 1
-
Gate current tunneling modulated by magnetic field in 65nm nMOSFET'sGutierrez-D, Edmundo A. / Guarin, Fernando et al. | 2009
- 1
-
Characteristic of (11-22) GaN on (10-10) m-plane sapphire substrate with a CrN interlayer by molecular beam epitaxyLiu, Kuang-Wei / Hsueh, Tao-Hung / Shoou-Jinn, Chang / Young, Sheng-Joue / Hung, Hung / Mai, Yu-Chun / Chen, Shi-Xiang / Chen, Yue-Zhang. et al. | 2009
- 1
-
Charge trapping flash device with Si3N4/HfxAl1−xO stack charge trapping layerYe, Zong-Hao / Chang-Liao, Kuei-Shu / Tsai, Tzu-Ting / Wang, Tien-Ko et al. | 2009
- 1
-
High work function metal gate and reliabitity improvement for MOS device by integration of TiN/MoN and HfAlO dielectircFu, Chung-Hao / Chang-Liao, Kuei-Shu / Lu, Hsueh-Yueh / Li, Chen-Chien / Wang, Tien-Ko et al. | 2009
- 1
-
Zero-bias Si backward diodes detectors incorporating P and B δ-doping layers grown by chemical vapor depositionPark, Si-Young / Anisha, R. / Jiang, Sheng / Berger, Paul R. / Loo, Roger / Nguyen, Ngoc Duy / Takeuchi, Shotaro / Goossens, Jozefien / Caymax, Matty et al. | 2009
- 1
-
Nano-CMOS circuit design and performance evaluation by inclusion of ballistic transport processesChek, Desmond C. Y. / Tan, Michael L. P. / Arora, Vijay K. et al. | 2009
- 1
-
Electronic and mechanical systems printed onto flexible substratesHines, D. R. / Huang, J. / Williams, E. D. / Siwak, Nathan / Ghodssi, Reza et al. | 2009
- 1
-
Germanium PIN detector on silicon grown by Sb surfactant-assisted epitaxyDavidson, Anthony L. / Thompson, Phillip E. / Worchesky, Terrance / Twigg, Mark E. et al. | 2009
- 1
-
Controlled growth, patterning and placement of carbon nanotube thin filmsSangwan, V. K. / Ballarotto, V. W. / Hines, D. R. / Fuhrer, M. S. / Williams, E. D. et al. | 2009
- 1
-
Impact of surface preparations on the transport characteristics of InxGa1−xAs metal-oxide-semiconductor field effect transistors (MOSFETs)Sonnet, A. M. / Galatage, R. V. / Jivani, M. N. / Milojevic, M. / Kirsch, P. / Huang, J. / Chapman, R. A. / Hinkle, C. L. / Wallace, R. M. / Vogel, E. M. et al. | 2009
- 1
-
A high performance MOSFET on selective buried oxide with improved short channel effectsQureshi, S. / Loan, Sajad A. / Iyer, S. S. K. et al. | 2009
- 1
-
Improvement of static noise margin in SRAM by post-fabrication self-convergence techniqueSuzuki, Makoto / Saraya, Takuya / Shimizu, Ken / Sakurai, Takayasu / Hiramoto, Toshiro et al. | 2009
- 1
-
A high performance lateral bipolar junction transistor on selective buried oxideLoan, Sajad A. / Qureshi, S. / Iyer, S. S. K. et al. | 2009
- 1
-
Spectrum analysis of electroluminescence from MOS capacitors with Si-implanted SiO2Matsuda, T. / Nohara, S. / Hase, S. / Iwata, H. / Ohzone, T. et al. | 2009
- 1
-
The effect of plasma treatment on the permeability of PET substratesSanaee, Z. / Mohajerzadeh, S. / Zand, K. / Gard, F. S. / Pajouhi, H. et al. | 2009
- 1
-
Novel approach for generating an electrochemically active film with amplification, switching and diode-like behaviorPayne, Gregory F. / Liu, Yi / Kim, Eunkyoung et al. | 2009
- 1
-
Electron trapping at interface states in SiO2/4H-SiC and SiO2/6H-SiC MOS capacitorsBasile, A. F. / Rozen, J. / Chen, X. D. / Dhar, S. / Williams, J. R. / Feldman, L. C. / Mooney, P. M. et al. | 2009
- 1
-
An introduction to experimental and modeling methods of evaluation of high power microwave effects on digital microelectronic systemsHolloway, Michael A. / Dilli, Zeynep / Jun, M. J. / Rodgers, John et al. | 2009
- 1
-
Automated crystal orientation and phase mapping of iron-oxide nanocrystals in a transmission electron microscopeRouvimov, S. / Moeck, P. / Rauch, E. F. / Nicolopoulos, S. et al. | 2009
- 1
-
Traveling-wave microwave switch using III-N gateless devices with capacitively-coupled contactsWang, Jingbo / Khan, Bilal / Sattu, Ajay / Yang, Jinwey / Gaska, Remis / Shur, Michael / Simin, Grigory et al. | 2009
- 1
-
Graphene containing conductive inks for electrical contacts to power semiconductor devicesShah, P. B. / Lettow, J. / Nyguen, C. / Derenge, M. A. / Jones, K. A. / Batyrev, I. / Piekarski, B. et al. | 2009
- 1
-
Atomistic simulations for SiGe pMOS devices — Bandstructure to transportMehrotra, Saumitra R / Paul, Abhijeet / Luisier, Mathieu / Klimeck, Gerhard et al. | 2009
- 1
-
An insight into the high temperature performance of SiC bipolar junction transistorBhatti, Arshad Saleem / Sajjad, Sumair / Bhopal, Fahad / Zafar, Nasim / Nawaz, Muhammad et al. | 2009
- 1
-
MBE-grown buffer with high breakdown voltage for nitride HEMTs on GaN templateCao, Yu / Zimmermann, Tom / Xing, Huili / Jena, Debdeep et al. | 2009
- 1
-
Defect reduction via confined epitaxial growth of GaNHite, J.K. / Mastro, M.A. / Eddy, C.R. et al. | 2009
- 1
-
Ceramide channel formed in open well thermal plastic chipsShao, Chenren / Colombini, Marco / DeVoe, Don L. et al. | 2009
- 1
-
Atomic force microscopy of DNA self-assembled nanostructures for device applicationsBui, Hieu / Onodera, Craig / Yurke, Bernard / Graugnard, Elton / Kuang, Wan / Lee, Jeunghoon / Knowlton, William B. / Hughes, William L. et al. | 2009
- 1
-
Reliable resistive switching device based on bi-layers of ZrOx/HfOx filmsLee, J. / Lee, W. / Jo, M. / Park, J. / Seong, D.-J. / Jung, S. / Kim, S. / Shin, J. / Park, S. / Hwang, H. et al. | 2009
- 1
-
Experimental study on uniaxially stressed Gate-All-Around silicon nanowires nMOSFETs on (110) silicon-on-insulatorChen, Jiezhi / Saraya, Takuya / Hiramoto, Toshiro et al. | 2009
- 1
-
Investigation of breakdown behavior for AlGaN HEMTsHuebschman, Benjamin D. / Darwish, Ali / Goldsman, Neil / Vivieros, Edward A. / Hung, Alfred et al. | 2009
- 1
-
Design and yields of 1200-V recessed-implanted-gate SiC vertical-channel JFETs for power switching applicationsVeliadis, V. / Ha, H. C. / Hearne, H. / Howell, R. / Van Campen, S. / Urciuoli, D. / Lelis, A. / Scozzie, C. et al. | 2009
- 1
-
Physical modeling of SiC devices based on the optical characterization of their internal electrothermal behaviorWerber, Dorothea / Wachutka, Gerhard et al. | 2009
- 1
-
Structures and opto-electrical characteristics of ZITO thin filmsHung, F. Y. / Chen, K. J. / Chang, S. J. / Young, S. J. / Hu, Z. S. et al. | 2009
- 1
-
Design and fabrication of implantable wireless pressure and oxygen sensors based on PDMS thin films for application in pediatric surgeryNomani, Md Waliullah Khan / Liu, Jie / Yim, Moonbin / Wen, Xuejun / Hsia, T-Y / Koley, Goutam et al. | 2009
- 1
-
Fabrication of plasmonic Au nano-void trench arrays by guided self-assemblyLi, Xiaoli V / Cole, R M / Milhano, C A / Bartlett, P N / Soares, B F / Baumberg, J J / de Groot, C H et al. | 2009
- 1
-
Enhancement mode AlN/ultrathin AlGaN/GaN HEMTs using selective wet etchingAnderson, T.J. / Tadjer, M.J. / Mastro, M.A. / Hite, J.K. / Hobart, K.D. / Eddy, C.R. / Kub, F.J. et al. | 2009
- 1
-
Modeling of perimeter-gated silicon avalanche diodes fabricated in a standard single-well CMOS processAkturk, Akin / Dandin, Marc / Goldsman, Neil / Abshire, Pamela et al. | 2009
- 1
-
Modeling, simulation and verification of void transfer process for patterning nm scale featuresJosan, Guriqbal Singh / Kurinec, Santosh K. et al. | 2009
- 1
-
The experiments of device implant process on threshold mismatch for advanced CMOS technologyWang, S. M. / Chang, S. J. / Hu, C. Y. et al. | 2009
- 1
-
Comparison of bipolar bias-temperature instability on MOSFETs with HfO2/LaOx and HfO2/AlOx dielectric stacksLu, Chun-Chang / Chang-Liao, Kuei-Shu / Tsao, Che-Hao / Wang, Tien-Ko et al. | 2009
- 1
-
Assessment of surge current capabilities of SiC-based high-power diodes through physics-based mixed-mode electro-thermal simulationsCappelluti, Federica / Bonani, Fabrizio / Ghione, Giovanni et al. | 2009
- 1
-
Improvement on programming and erasing speeds for charge-trapping flash memory device with SiGe buried channelLiu, Li-Jung / Chang-Liao, Kuei-Shu / Keng, Wen-Chun / Wang, Tien-Ko et al. | 2009
- 1
-
Designing bulk-driven MOSFETs in scaled technologiesUrban, Christopher / Moon, James E. / Mukund, P.R. et al. | 2009
- 1
-
Advances and challenges in active thin film electronicsJackson, Tom et al. | 2009
- 1
-
A novel etching technique for crystallographic study of SiC materialsZhao, Feng / Daniels, Kevin / Laney, Zegilor / Sudarshan, Tangali S. et al. | 2009
- 1
-
A deterministic approach to the spatial origin of semiconductor device current noise for semiclassical transportNoaman, B. A / Korman, C. E et al. | 2009
- 1
-
Three-color photodetector based on quantum dots and resonant-tunneling diodes coupled with conductive polymersLiao, Sicheng / Sun, Ke / Dutta, Mitra / Stroscio, Michael A. et al. | 2009
- 1
-
AlGaN/GaN ChemFET devices as biosensors for detection and characterization of photosystem I reaction centersEliza, Sazia A. / Mostafa, Salwa / Islam, Syed K. / Lee, Ida / Evans, Barbara / Greenbaum, Elias et al. | 2009
- 1
-
Award| 2009
- 1
-
Comprehensive temperature modeling of strained epitaxial silicon-germanium alloy thermistorsMalm, B. Gunnar / Kolahdouz, Mohammad-Reza / Radamson, Henry H. / Ostling, Mikael et al. | 2009
- 1
-
High photovoltaic performance of ladder-type oligo-p-phenylene containing copolymers with high open-circuit voltagesZheng, Qingdong / Jung, Byung J. / Sun, Jia / Katz, Howard E. et al. | 2009
- 1
-
Transport properties and surface morphology correlated studies on graphene formed by Si desorption of 6H-SÍCRoach, William / Bcringcr, Douglas / Skuza, Jonathan / Clavero, Cesar / Lukaszcw, Rosa Alejandra et al. | 2009
- 1
-
Miniaturized homo-polar rotating liquid device on Si substratesSanaee, Z. / Mohajerzadeh, S. / Miladi, H. / Araghchini, M. et al. | 2009
- 1
-
Pronounced quantum hall-effect on epitaxial graphene up to 70KShen, Tian / Neal, Adam T. / Gu, Jiangjiang / Xu, Min / Wu, Yanqing / Bolen, Mike / Capano, Michael A. / Engel, Lloyd / Ye, Peide D. et al. | 2009
- 1
-
A novel 3-input AND/OR gate in Quantum-Dot Cellular Automata with single clock zone and minimum areaBashiri, Fereshteh Sadat / Tavassoli, Hesam / Faez, Rahim / Shooraki, Saeed Bagheri et al. | 2009
- 1
-
Surface-independent structure characterization using fuzzy logicAl-Mousa, Amjed / Niemann, Darrell L. / Niemann, Devin J. / Gunther, Norman G. / Rahman, Mahmud et al. | 2009
- 1
-
Silicon nanowire nonvolatile-memory with varying HfO2 charge trapping layer thicknessZhu, X. / Li, Qiliang / Ioannou, D. E. / Gu, D. / Baumgart, H. / Bonevich, J. E. / Suehle, J. S. / Richter, C. A. et al. | 2009
- 1
-
Atomic layer deposited Al2O3/Ta2O5 nanolaminate capacitorsSmith, S.W. / McAuliffe, K.G. / Conley, J.F. et al. | 2009
- 1
-
Epitaxial graphene top-gate FETs on silicon substratesKang, Hyun-Chul / Karasawa, Hiromi / Miyamoto, Yu / Handa, Hiroyuki / Fukidome, Hirokazu / Suemitsu, Tetsuya / Suemitsu, Maki / Otsuji, Taiichi et al. | 2009
- 1
-
Fabrication approach for lateral InGaAs tunnel transistorsWheeler, Dana / Kabeer, Sajid / Lu, Yeqing / Vasen, Tim / Zhang, Qin / Zhou, Guangle / Clark, Kevin / Zhu, Haijun / Kao, Yung-Chung / Fay, Patrick et al. | 2009
- 1
-
Silicon carbide materials for advanced power electronic devicesAlbert A, Burk / O'Loughlin, Michael J. / Garrett, Lara S. et al. | 2009
- 1
-
Analysis and modeling of the pinch-off point in a lightly doped asymmetrically biased double gate MOSFETWeidemann, Michaela / Schwarz, Mike / Kloes, Alexander / Iniguez, Benjamin et al. | 2009
- 1
-
Effects of fin width on memory windows in FinFET ZRAMsZhang, E. X. / Fleetwood, D. M. / Mamouni, F. E. / Alles, M. L. / Schrimpf, R. D. / Xiong, W. / Cristoloveanu, S. et al. | 2009
- 1
-
3300 V, 30 A 4H-SiC power DMOSFETsCheng, Lin / Ryu, Sei-Hyung / Jonas, Charlotte / Dhar, Sarit / Callanan, Robert / Richmond, Jim / Agarwal, Anant K. / Palmour, John et al. | 2009
- 1
-
Carrier dynamics in energy states of InAs/GaAs quantum dots by measuring selective carrier filling and extracting techniquesKim, Jin Soak / Kim, Eun Kyu / Kim, Jun Oh / Lee, Sang Jun / Noh, Sam Kyu / Han, Il-Ki et al. | 2009
- 1
-
Investigation of growth parameter influence on hydrothermally grown ZnO nanowires using a research grade microwaveMason, A. D. / Roberts, T. F. / Conley, J. F. / Price, D. T. / Allman, D. D. J. / McGuire, M. S. et al. | 2009
- 1
-
Technical program| 2009
- 1
-
CF4 plasma treated poly-Si film by PECVD for high-k PrTiO3 poly-Si TFTsPan, Tung-Ming / Chang, Chih-Jen / Chan, Ching-Lin / Su, Sheng-Han / Lin, Wu-Ching et al. | 2009
- 1
-
Solution-processed flexible memristorsGergel-Hackett, Nadine / Stephey, Laurie / Dunlap, Barbara / Hamadani, Berhang H. / Gundlach, Dave J. / Richter, Curt A. et al. | 2009
- 1
-
A vertically aligned carbon nanofiber (VACNF) based amperometric glucose sensorIslam, Ashraf B. / Islam, Syed K. / Rahman, Touhidur et al. | 2009
- 1
-
Wet chemistry based copper oxide and zinc oxide nanowire photovoltaic cellsMacNaughton, Samuel / DeMeo, Dante F. / Sonkusale, Sameer / Vandervelde, Thomas E. et al. | 2009
- 1
-
Operation of carbon nanotube thin-film transistors at elevated temperaturesOzturk, S. / Dogan, M. / Aktas, O. et al. | 2009
- 1
-
Gate bias characterization of CNT-TFT DNA sensorsAktas, O. / Toral, T. et al. | 2009
- 1
-
Optimization of active layer thickness in planar organic solar cells via optical simulation methodsBoland, Patrick M. / Abdel-Fattah, Tarek / Baumgart, Helmut / Namkoong, Gon et al. | 2009
- 1
-
Ultra-low power series pass element voltage regulator for RF-DC energy harvestingPark, Myunghwan / Choi, Kwangsik / Peckerar, Martin et al. | 2009
- 1
-
Graphene Morphology Modulated by Nanowires Patterned on a Substrate SurfaceLi, Teng / Zhang, Zhao et al. | 2009
- 1
-
Source/drain design for 16 nm surrounding gate MOSFETsLim, Towoo / Jang, Junyong / Kim, Youngmin et al. | 2009
- 1
-
Vapor phase doping and sub-melt laser anneal for the fabrication of Si-based ultra-shallow junctions in sub-32 nm CMOS technologyNguyen, N. D. / Rosseel, E. / Takeuchi, S. / Everaert, J.-L. / Loo, R. / Goossens, J. / Moussa, A. / Clarysse, T. / Caymax, M. / Vandervorst, W. et al. | 2009
- 1
-
GHz devices from epitaxial graphene on SiCGaskilla, D.K. / Moon, J. / Tedesco, J.L. / Robinson, J.A. / Friedman, A.L. / Campbell, P.M. / Jernigan, G.G. / Hite, J. / Myers-Ward, R.L. / Eddy, C.R. et al. | 2009
- 1
-
Depth-resolved cathodoluminescence spectroscopy as a probe of defect structure in oxidesBrillson, L.J. / Dong, Y. / Zhang, J. / Walsh, S. / Mosbacker, H.L. / Doutt, D. / Hetzer, M. et al. | 2009
- 1
-
Fabrication of open gate structure on GaN-based HEMT for pH sensingAbidin, Mastura Shafinaz Zainal / Sharifabad, Maneea Eizadi / Hashim, Abdul Manaf / Rahman, Shaharin Fadzli Abd / Rahman, Abdul Rahim Abdul / Osman, Mohd Nizam et al. | 2009
- 1
-
Gate leakage properties on n-MOSFET with plasma oxidized and nitridedKim, Hyo-Joong / Kim, Dong-Hwan / Lee, Woong / Roh, Yong Han et al. | 2009
- 1
-
Floating-body-effect-related gate tunneling leakage current phenomenon of 40nm PD SOI NMOS deviceHung, H. J. / Kuo, J. B. / Tsai, C. T. / Chen, D. et al. | 2009
- 1
-
Memory cell capacitor using cross double patterning technology for gigabit density DRAMKim, Cheon Bae / Kim, S.G. / Cho, S.I. / Kim, K.S. / Lee, K.P. / Roh, Yong Han et al. | 2009
- 1
-
Nanoscale TiN wet etching and its application for FinFET fabricationLiu, Y. X. / Kamei, T. / Endo, K. / O'uchi, S. / Tsukada, J. / Yamauchi, H. / Hayashida, T. / Ishikawa, Y. / Matsukawa, T. / Sakamoto, K. et al. | 2009
- 1
-
Self-aligned ALD AlOx T-gate footprint insulator for gate leakage current suppression in SiNx passivated AlGaN/GaN HEMTsMeyer, David J. / Bass, Robert / Katzer, D. Scott / Deen, David A. / Binari, Steven C. / Daniels, Kevin M. / Eddy, Charles R. et al. | 2009
- 1
-
Effects of non-uniformly doped substrate on gate C-V characteristics of MOS devices with ultrathin (~ 1nm) gate dielectrics: A QM studySiddiqui, Mahmudur R. / Sarwar, A. T. M. Golam / Siddique, Radwanul H. / Khosru, Quazi D. M. et al. | 2009
- 1
-
Analysis of physics based model of AlGaN/GaN power HEMT with temperature compensationHuq, Hasina F. / Polash, Bashirul et al. | 2009
- 1
-
2D compact modeling of the threshold voltage in triple- and Pi-gate transistorsRitzenthaler, R. / Lime, F. / Faynot, O. / Cristoloveanu, S. / Iniguez, B. et al. | 2009